作者
Chen Chen, Roozbeh Parsa, Nishant Patil, Soogine Chong, Kerem Akarvardar, J Provine, David Lewis, Jeff Watt, Roger T Howe, H-S Philip Wong, Subhasish Mitra
发表日期
2010/2/21
图书
Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays
页码范围
273-282
简介
Nanoelectromechanical (NEM) relays are promising candidates for programmable routing in Field-Programmable-Gate Arrays (FPGAs). This is due to their zero leakage and potentially low on-resistance. Moreover, NEM relays can be fabricated using a low-temperature process and, hence, may be monolithically integrated on top of CMOS circuits. Hysteresis characteristics of NEM relays can be utilized for designing programmable routing switches in FPGAs without requiring corresponding routing SRAM cells. Our simulation results demonstrate that the use of NEM relays for programmable routing in FPGAs can simultaneously provide 43.6% footprint area reduction, 37% leakage power reduction, and up to 28% critical path delay reduction compared to traditional SRAM-based CMOS FPGAs at the 22nm technology node.
引用总数
20102011201220132014201520162017201820192020202120222023202441491171041076108511
学术搜索中的文章
C Chen, R Parsa, N Patil, S Chong, K Akarvardar… - Proceedings of the 18th annual ACM/SIGDA …, 2010