作者
Fei Xia, Dejun Jiang, Jin Xiong, Mingyu Chen, Lixin Zhang, Ninghui Sun
发表日期
2014/6/10
图书
Proceedings of the 28th ACM international conference on Supercomputing
页码范围
211-220
简介
Phase change memory (PCM) is promising to become an alternative main memory thanks to its better scalability and lower leakage than DRAM. However, the long write latency of PCM puts it at a severe disadvantage against DRAM. In this paper, we propose a Dynamic Write Consolidation (DWC) scheme to improve PCM memory system performance while reducing energy consumption. This paper is motivated by the observation that a large fraction of a cache line being written back to memory is not actually modified. DWC exploits the unnecessary burst writes of unmodified data to consolidate multiple writes targeting the same row into one write. By doing so, DWC enables multiple writes to be send within one. DWC incurs low implementation overhead and shows significant efficiency. The evaluation results show that DWC achieves up to 35.7% performance improvement, and 17.9% on average. The effective …
引用总数
201520162017201820192020202120222023482644242
学术搜索中的文章
F Xia, D Jiang, J Xiong, M Chen, L Zhang, N Sun - Proceedings of the 28th ACM international conference …, 2014