作者
R Poss, M Lankamp, Q Yang, J Fu, MW van Tol, I Uddin, C Jesshope
发表日期
2013/5/4
期刊
Microprocessors and Microsystems
卷号
37
期号
8
页码范围
1090--1101
简介
To harness the potential of CMPs for scalable, energy-efficient performance in general-purpose computers, the Apple-CORE project has co-designed a general machine model and concurrency control interface with dedicated hardware support for concurrency management across multiple cores. Its SVP interface combines dataflow synchronisation with imperative programming, towards the efficient use of parallelism in general-purpose workloads. Its implementation in hardware provides logic able to coordinate single-issue, in-order multi-threaded RISC cores into computation clusters on chip, called Microgrids. In contrast with the traditional “accelerator” approach, Microgrids are components in distributed systems on chip that consider both clusters of small cores and optional, larger sequential cores as system services shared between applications. The key aspects of the design are asynchrony, i.e. the ability to …
引用总数
201320142015201620172018201920202021202220232024143111
学术搜索中的文章
R Poss, M Lankamp, Q Yang, J Fu, MW van Tol, I Uddin… - Microprocessors and Microsystems, 2013