关注
Zhenyu Wu
Zhenyu Wu
在 nudt.edu.cn 的电子邮件经过验证
标题
引用次数
引用次数
年份
Recoil-ion-induced single event upsets in nanometer CMOS SRAM under low-energy proton radiation
Z Wu, S Chen, J Yu, J Chen, P Huang, R Song
IEEE Transactions on Nuclear Science 64 (1), 654-664, 2016
302016
Temperature-dependent stress-induced voiding in dual-damascene Cu interconnects
ZY Wu, YT Yang, CC Chai, YJ Li, JY Wang, J Liu, B Liu
Microelectronics Reliability 48 (4), 578-583, 2008
162008
Simulation study of large-scale charge sharing mitigation using seamless guard band
P Huang, S Chen, J Chen, L Bin, Z Wu
IEEE Transactions on Device and Materials Reliability 17 (1), 176-183, 2016
142016
Heavy ion, proton, and neutron charge deposition analyses in several semiconductor materials
Z Wu, S Chen
IEEE Transactions on Nuclear Science 65 (8), 1791-1799, 2018
112018
Structure-dependent behavior of stress-induced voiding in Cu interconnects
ZY Wu, YT Yang, CC Chai, YJ Li, JY Wang, B Li, J Liu
Thin Solid Films 518 (14), 3778-3781, 2010
112010
nMOS transistor location adjustment for N-Hit single-event transient mitigation in 65-nm CMOS bulk technology
Z Wu, S Chen
IEEE Transactions on Nuclear Science 65 (1), 418-425, 2017
102017
Experimental characterization of the dominant multiple nodes charge collection mechanism in metal oxide-semiconductor transistors
R Song, S Chen, Y Chi, Z Wu, B Liang, J Chen, J Xu, P Hao, J Yu
Applied Physics Letters 110 (23), 2017
102017
The effect of annealing on electrical properties of fluorinated amorphous carbon films
Z Wu, Y Yang, J Wang
Diamond and related materials 17 (2), 118-122, 2008
92008
Impacts of proton radiation on heavy-ion-induced single-event transients in 65-nm CMOS technology
Z Wu, S Chen, J Chen, P Huang
IEEE Transactions on Nuclear Science 66 (1), 177-183, 2018
82018
SEU Tolerance Efficiency of Multiple Layout-Hardened 28 nm DICE D Flip-Flops
Y Chi, C Cai, Z He, Z Wu, Y Fang, J Chen, B Liang
Electronics 11 (7), 972, 2022
52022
Fast dynamic IR-drop prediction using machine learning in bulk FinFET technologies
P Huang, C Ma, Z Wu
Symmetry 13 (10), 1807, 2021
52021
Analysis of single-event transient sensitivity in fully depleted silicon-on-insulator MOSFETs
JY Xu, SM Chen, RQ Song, ZY Wu, JJ Chen
Nuclear Science and Techniques 29 (4), 49, 2018
52018
Characterization of single-event transients induced by high LET heavy ions in 16 nm bulk FinFET inverter chains
Y Chi, Z Wu, P Huang, Q Sun, B Liang, Z Zhao
Microelectronics Reliability 130, 114490, 2022
42022
On-chip relative single-event transient/single-event upset susceptibility test circuit for integrated circuits working in real time
P Hao, S Chen, Z Wu, Y Chi
IEEE Transactions on Nuclear Science 65 (1), 376-381, 2017
42017
TAISAM: A Transistor Array-Based Test Method for Characterizing Heavy Ion-Induced Sensitive Areas in Semiconductor Materials
J Shao, R Song, Y Chi, B Liang, Z Wu
Electronics 11 (13), 2043, 2022
32022
Study on circuit modeling of stretchable serpentine interconnects
Z Wu, C Peng, J Liao, Q Chen
International Journal of Circuit Theory and Applications 50 (3), 988-996, 2022
32022
Effect of cell placement on single-event transient pulse in a bulk finfet technology
P Huang, Z Zhao, Y Chi, B Liang, C Ma, Q Sun, Z Wu
IEEE Transactions on Nuclear Science 68 (5), 1103-1110, 2021
32021
An investigation of FinFET single-event latch-up characteristic and mitigation method
D Li, T Liu, Z Wu, C Cai, P Zhao, Z He, J Liu
Microelectronics Reliability 114, 113901, 2020
32020
Higher NMOS single event transient susceptibility compared to PMOS in sub-20nm bulk FinFET
Q Sun, Y Guo, B Liang, M Tao, Y Chi, P Huang, Z Wu, D Luo, J Chen
IEEE Electron Device Letters, 2023
12023
Strategy to mitigate single event upset in 14-nm CMOS bulk FinFET technology
DQ Li, TQ Liu, PX Zhao, ZY Wu, TS Wang, J Liu
Chinese Physics B 31 (5), 056106, 2022
12022
系统目前无法执行此操作,请稍后再试。
文章 1–20