关注
Mehdi B. Tahoori
Mehdi B. Tahoori
Professor of Computer Science, Karlsruhe Institute of Technology, Germany
在 kit.edu 的电子邮件经过验证 - 首页
标题
引用次数
引用次数
年份
Reliable on-chip systems in the nano-era: Lessons learnt and future trends
J Henkel, L Bauer, N Dutt, P Gupta, S Nassif, M Shafique, M Tahoori, ...
Proceedings of the 50th Annual Design Automation Conference, 1-10, 2013
2542013
An inside job: Remote power analysis attacks on FPGAs
F Schellenberg, DRE Gnad, A Moradi, MB Tahoori
IEEE Design & Test 38 (3), 58-66, 2021
2232021
Testing of quantum cellular automata
MB Tahoori, J Huang, M Momenzadeh, F Lombardi
IEEE Transactions on Nanotechnology 3 (4), 432-442, 2004
2202004
Evaluation of hybrid memory technologies using SOT-MRAM for on-chip cache hierarchy
F Oboril, R Bishnoi, M Ebrahimi, MB Tahoori
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
2102015
Ultra-fast and high-reliability SOT-MRAM: From cache replacement to normally-off computing
G Prenat, K Jabeur, P Vanhauwaert, G Di Pendina, F Oboril, R Bishnoi, ...
IEEE Transactions on Multi-Scale Computing Systems 2 (1), 49-60, 2015
1942015
Defects and faults in quantum cellular automata at nano scale
MB Tahoori, M Momenzadeh, J Huang, F Lombardi
22nd IEEE VLSI Test Symposium, 2004. Proceedings., 291-296, 2004
1742004
Characterization, test, and logic synthesis of and-or-inverter (AOI) gate design for QCA implementation
M Momenzadeh, J Huang, MB Tahoori, F Lombardi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2005
1692005
FPGAhammer: Remote voltage fault attacks on shared FPGAs, suitable for DFA on AES
J Krautter, DRE Gnad, MB Tahoori
IACR Transactions on Cryptographic Hardware and Embedded Systems, 44-68, 2018
1652018
Voltage drop-based fault attacks on FPGAs using valid bitstreams
DRE Gnad, F Oboril, MB Tahoori
2017 27th International Conference on Field Programmable Logic and …, 2017
1512017
Extratime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level
F Oboril, MB Tahoori
IEEE/IFIP International Conference on Dependable Systems and Networks (DSN …, 2012
1402012
Balancing performance and reliability in the memory hierarchy
GH Asadi, V Sridharan, MB Tahoori, D Kaeli
IEEE International Symposium on Performance Analysis of Systems and Software …, 2005
1312005
Design and architectures for dependable embedded systems
J Henkel, L Bauer, J Becker, O Bringmann, U Brinkschulte, S Chakraborty, ...
Proceedings of the seventh IEEE/ACM/IFIP international conference on …, 2011
1202011
An analytical approach for soft error rate estimation in digital circuits
G Asadi, MB Tahoori
2005 IEEE International Symposium on Circuits and Systems, 2991-2994, 2005
1152005
Soft error rate estimation and mitigation for SRAM-based FPGAs
G Asadi, MB Tahoori
Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field …, 2005
1152005
Soft error rate estimation of digital circuits in the presence of multiple event transients (METs)
M Fazeli, SN Ahmadian, SG Miremadi, H Asadi, MB Tahoori
2011 Design, Automation & Test in Europe, 1-6, 2011
982011
An accurate SER estimation method based on propagation probability [soft error rate]
G Asadi, MB Tahoori
Design, Automation and Test in Europe, 306-307, 2005
972005
Remote inter-chip power analysis side-channel attacks at board-level
F Schellenberg, DRE Gnad, A Moradi, MB Tahoori
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-7, 2018
922018
Soft error susceptibility analysis of SRAM-based FPGAs in high-performance information systems
H Asadi, MB Tahoori, B Mullins, D Kaeli, K Granlund
IEEE Transactions on Nuclear Science 54 (6), 2714-2726, 2007
922007
On the defect tolerance of nano-scale two-dimensional crossbars
J Huang, MB Tahoori, F Lombardi
19th IEEE International Symposium on Defect and Fault Tolerance in VLSI …, 2004
902004
Read disturb fault detection in STT-MRAM
R Bishnoi, M Ebrahimi, F Oboril, MB Tahoori
2014 International Test Conference, 1-7, 2014
872014
系统目前无法执行此操作,请稍后再试。
文章 1–20