关注
Rihito Kuroda
Rihito Kuroda
在 tohoku.ac.jp 的电子邮件经过验证 - 首页
标题
引用次数
引用次数
年份
A global-shutter CMOS image sensor with readout speed of 1-Tpixel/s burst and 780-Mpixel/s continuous
Y Tochigi, K Hanzawa, Y Kato, R Kuroda, H Mutoh, R Hirose, H Tominaga, ...
IEEE Journal of Solid-State Circuits 48 (1), 329-338, 2013
1612013
Atomically flat silicon surface and silicon/insulator interface formation technologies for (100) surface orientation large-diameter wafers introducing high performance and low …
R Kuroda, T Suwa, A Teramoto, R Hasebe, S Sugawa, T Ohmi
IEEE transactions on electron devices 56 (2), 291-298, 2009
832009
Revolutional progress of silicon technologies exhibiting very high speed performance over a 50-GHz clock rate
T Ohmi, A Teramoto, R Kuroda, N Miyamoto
IEEE transactions on electron devices 54 (6), 1471-1477, 2007
672007
Impact of improved high-performance Si (110)-oriented metal–oxide–semiconductor field-effect transistors using accumulation-mode fully depleted silicon-on-insulator devices
W Cheng, A Teramoto, M Hirayama, S Sugawa, T Ohmi
Japanese journal of applied physics 45 (4S), 3110, 2006
592006
A linear response single exposure CMOS image sensor with 0.5ereadout noise and 76kefull well capacity
S Wakashima, F Kusuhara, R Kuroda, S Sugawa
2015 Symposium on VLSI Circuits (VLSI Circuits), C88-C89, 2015
472015
Complementary Metal–Oxide–Silicon Field-Effect-Transistors Featuring Atomically Flat Gate Insulator Film/Silicon Interface
R Kuroda, A Teramoto, Y Nakao, T Suwa, M Konda, R Hasebe, X Li, ...
Japanese Journal of Applied Physics 48 (4S), 04C048, 2009
442009
A highly ultraviolet light sensitive and highly robust image sensor technology based on flattened Si surface
R Kuroda, S Kawada, S Nasuno, T Nakazawa, Y Koda, K Hanzawa, ...
ITE Transactions on Media Technology and Applications 2 (2), 123-130, 2014
412014
Analysis of source follower random telegraph signal using nMOS and pMOS array TEG
K Abe, S Sugawa, R Kuroda, S Watabe, N Miyamoto, A Teramoto, T Ohmi, ...
Int’l Image Sensor Workshop, 62-65, 2007
392007
Atomically Flattening Technology at 850ºC for Si (100) Surface
X Li, T Suwa, A Teramoto, R Kuroda, S Sugawa, T Ohmi
ECS Transactions 28 (1), 299, 2010
382010
A dead-time free global shutter CMOS image sensor with in-pixel LOFIC and ADC using pixel-wis e connections
H Sugo, S Wakashima, R Kuroda, Y Yamashita, H Sumi, TJ Wang, ...
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1-2, 2016
372016
Statistical analysis of random telegraph noise reduction effect by separating channel from the interface
A Yonezawa, A Teramoto, R Kuroda, H Suzuki, S Sugawa, T Ohmi
2012 IEEE International Reliability Physics Symposium (IRPS), 3B. 5.1-3B. 5.7, 2012
352012
An over 120 dB single exposure wide dynamic range CMOS image sensor with two-stage lateral overflow integration capacitor
Y Fujihara, M Murata, S Nakayama, R Kuroda, S Sugawa
IEEE transactions on electron devices 68 (1), 152-157, 2020
312020
A statistical evaluation of random telegraph noise of in-pixel source follower equivalent surface and buried channel transistors
R Kuroda, A Yonezawa, A Teramoto, TL Li, Y Tochigi, S Sugawa
IEEE transactions on electron devices 60 (10), 3555-3561, 2013
302013
Over 100 million frames per second 368 frames global shutter burst CMOS image sensor with pixel-wise trench capacitor memory array
M Suzuki, Y Sugama, R Kuroda, S Sugawa
Sensors 20 (4), 1086, 2020
292020
Pixel structure with 10 nsec fully charge transfer time for the 20m frame per second burst CMOS image sensor
K Miyauchi, T Takeda, K Hanzawa, Y Tochigi, S Sakai, R Kuroda, ...
Image Sensors and Imaging Systems 2014 9022, 15-26, 2014
272014
A 20Mfps global shutter CMOS image sensor with improved light sensitivity and power consumption performances
R Kuroda, Y Tochigi, K Miyauchi, T Takeda, H Sugo, F Shao, S Sugawa
ITE Transactions on Media Technology and Applications 4 (2), 149-154, 2016
262016
An over 1Mfps global shutter CMOS image sensor with 480 frame storage using vertical analog memory integration
M Suzuki, R Kuroda, Y Kumagai, A Chiba, N Miura, N Kuriyama, ...
2016 IEEE International Electron Devices Meeting (IEDM), 8.5. 1-8.5. 4, 2016
252016
Analysis and reduction of floating diffusion capacitance components of CMOS image sensor for photon-countable sensitivity
F Kusuhara, S Wakashima, S Nasuno, R Kuroda, S Sugawa
Proc. Int. Image Sens. Workshop, 120-123, 2015
252015
HDR CMOS image sensors for automotive applications
I Takayanagi, R Kuroda
IEEE Transactions on Electron Devices 69 (6), 2815-2823, 2022
242022
Influence of silicon wafer surface roughness on semiconductor device characteristics
K Mori, S Samata, N Mitsugi, A Teramoto, R Kuroda, T Suwa, ...
Japanese Journal of Applied Physics 59 (SM), SMMB06, 2020
242020
系统目前无法执行此操作,请稍后再试。
文章 1–20