关注
Jörg Henkel
Jörg Henkel
Professor of Computer Science, Karlsruhe Institute of Technology
在 kit.edu 的电子邮件经过验证 - 首页
标题
引用次数
年份
QUERA: Q-Learning RPL Routing Mechanism to Establish Energy Efficient and Reliable Communications in Mobile IoT Networks
SR Lalani, B Safaei, AMH Monazzah, H Taghizadeh, J Henkel, A Ejlali
IEEE Transactions on Green Communications and Networking, 2024
2024
LightFAt: Mitigating Control-Flow Explosion via Lightweight PMU-Based Control-Flow Attestation
J Gonzalez-Gomez, H Nassar, L Bauer, J Henkel
2024 IEEE International Symposium on Hardware Oriented Security and Trust …, 2024
2024
MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs
L Alrahis, H Nassar, J Krautter, D Gnad, L Bauer, J Henkel, M Tahoori
2024 IEEE International Symposium on Hardware Oriented Security and Trust …, 2024
2024
Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels
H Nassar, P Machauer, DRE Gnad, L Bauer, MB Tahoori, J Henkel
Proceedings of the 2024 ACM/SIGDA International Symposium on Field …, 2024
2024
Multi-Agent Reinforcement Learning for Thermally-Restricted Performance Optimization on Manycores
H Khdr, ME Batur, K Zhou, MB Sikal, J Henkel
2024 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2024
2024
Energy-Aware Heterogeneous Federated Learning via Approximate Systolic DNN Accelerators
K Pfeiffer, K Balaskas, K Siozios, J Henkel
arXiv preprint arXiv:2402.18569, 2024
2024
A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends
A Younesi, M Ansari, MA Fazli, A Ejlali, M Shafique, J Henkel
arXiv preprint arXiv:2402.15490, 2024
12024
Aggregating Capacity in FL through Successive Layer Training for Computationally-Constrained Devices
K Pfeiffer, R Khalili, J Henkel
Advances in Neural Information Processing Systems 36, 2024
12024
TransAxx: Efficient Transformers with Approximate Computing
D Danopoulos, G Zervakis, D Soudris, J Henkel
arXiv preprint arXiv:2402.07545, 2024
2024
Supporting Dynamic Control-Flow Execution for Runtime Reconfigurable Processors
H Nassar, R Youssef, L Bauer, J Henkel
2023 International Conference on Microelectronics (ICM), 184-189, 2023
2023
NPU-Accelerated Imitation Learning for Thermal Optimization of QoS-Constrained Heterogeneous Multi-Cores
M Rapp, H Khdr, N Krohmer, J Henkel
ACM Transactions on Design Automation of Electronic Systems 29 (1), 1-23, 2023
22023
Hardware–Software Co-design for Ultra-Resource-Constrained Embedded Machine Learning Inference: A Printed Electronics Use Case
G Zervakis, MB Tahoori, J Henkel
Embedded Machine Learning for Cyber-Physical, IoT, and Edge Computing …, 2023
2023
Swift-CNN: Leveraging PCM Memory’s Fast Write Mode to Accelerate CNNs
L Siddhu, H Nassar, L Bauer, C Hakert, N Hölscher, JJ Chen, J Henkel
IEEE Embedded Systems Letters, 2023
2023
Effects of Runtime Reconfiguration on PUFs Implemented as FPGA-Based Accelerators
H Nassar, L Bauer, J Henkel
IEEE Embedded Systems Letters, 2023
2023
Differentiable Slimming for Memory-Efficient Transformers
N Penkov, K Balaskas, M Rapp, J Henkel
IEEE Embedded Systems Letters, 2023
2023
Special Session-Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications
J Henkel, L Siddhu, L Bauer, J Teich, S Wildermann, M Tahoori, ...
Proceedings of the International Conference on Compilers, Architecture, and …, 2023
2023
ANV-PUF: Machine-Learning-Resilient NVM-Based Arbiter PUF
H Nassar, L Bauer, J Henkel
ACM Transactions on Embedded Computing Systems 22 (5s), 1-23, 2023
2023
Federated learning for computationally constrained heterogeneous devices: A survey
K Pfeiffer, M Rapp, R Khalili, J Henkel
ACM Computing Surveys 55 (14s), 1-27, 2023
172023
Machine Learning-based Thermally-Safe Cache Contention Mitigation in Clustered Manycores
MB Sikal, H Khdr, M Rapp, J Henkel
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
2023
Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors
J González-Gómez, MB Sikal, H Khdr, L Bauer, J Henkel
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
12023
系统目前无法执行此操作,请稍后再试。
文章 1–20