关注
Marian Verhelst
Marian Verhelst
Micas - ESAT - KU Leuven, Belgium
在 esat.kuleuven.be 的电子邮件经过验证
标题
引用次数
年份
WIRELESS COMMUNICATION TECHNOLOGY, APPARATUSES, AND METHODS
E Alpman, AL Amadjikpe, O Asaf, K Azadet, R Banin, M Baryakh, A Bazov, ...
US Patent App. 18/442,331, 2024
2024
Optimization of block-scaled integer GeMMs for efficient DNN deployment on scalable in-order vector processors
NS Murthy, F Catthoor, M Verhelst
Journal of Systems Architecture, 103236, 2024
2024
TinyML4D: Scaling Embedded Machine Learning Education in the Developing World
B Plancher, S Buttrich, J Ellis, N Goveas, L Kazimierski, JL Sotelo, M Lukic, ...
Proceedings of the AAAI Symposium Series 3 (1), 508-515, 2024
2024
Enabling Efficient Hardware Acceleration of Hybrid Vision Transformer (ViT) Networks at the Edge
J Dumoulin, P Houshmand, V Jain, M Verhelst
2024 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2024
2024
Wireless communication technology, apparatuses, and methods
E Alpman, AL Amadjikpe, O Asaf, K Azadet, R Banin, M Baryakh, A Bazov, ...
US Patent 11,955,732, 2024
2024
Optimizing Layer-Fused Scheduling of Transformer Networks on Multi-accelerator Platforms
S Colleman, A Symons, VJB Jung, M Verhelst
2024 25th International Symposium on Quality Electronic Design (ISQED), 1-6, 2024
2024
TreeGRNG: Binary Tree Gaussian Random Number Generator for Efficient Probabilistic AI Hardware
J Crols, G Paim, S Zhao, M Verhelst
2024 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2024
2024
BitWave: Exploiting Column-Based Bit-Level Sparsity for Deep Learning Acceleration
M Shi, V Jain, A Joseph, M Meijer, M Verhelst
2024 IEEE International Symposium on High-Performance Computer Architecture …, 2024
12024
Scoping the Landscape of (Extreme) Edge Machine Learning Processors
V Jain, M Verhelst
Towards Heterogeneous Multi-core Systems-on-Chip for Edge Machine Learning …, 2024
2024
Stimulation circuitry for a multichannel potentiostat
M Verhelst, T Molderez, K Rabaey
US Patent App. 18/032,705, 2023
2023
ACCO: Automated Causal CNN Scheduling Optimizer for Real-Time Edge Accelerators
J Yin, L Mei, A Guntoro, M Verhelst
2023 IEEE 41st International Conference on Computer Design (ICCD), 391-398, 2023
2023
Analog or Digital In-memory Computing? Benchmarking through Quantitative Modeling
J Sun, P Houshmand, M Verhelst
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 1-9, 2023
12023
Micro‐electronics for micro‐biology
P Li, M Verhelst
2023
The “Eagle” Approach To Train Electrical Engineers With Collaborative Problem-Solving Skills
F Poormohammadi, M Van Deyck, M Deckers, A Saboor, B Wang, ...
51st Annual Conference of the European Society for Engineering Education (SEFI), 2023
2023
Optimising GPGPU Execution Through Runtime Micro-Architecture Parameter Analysis
GM Sarda, N Shah, D Bhattacharjee, P Debacker, M Verhelst
2023 IEEE International Symposium on Workload Characterization (IISWC), 226-228, 2023
2023
Towards Heterogeneous Multi-core Systems-on-Chip for Edge Machine Learning: Journey from Single-core Acceleration to Multi-core Heterogeneous Systems
V Jain, M Verhelst
Springer Nature, 2023
2023
A 16nm 128kB high-density fully digital In Memory Compute macro with reverse SRAM pre-charge achieving 0.36TOPs/mm2, 256kB/mm2 and 23. 8TOPs/W
W Jiang, P Houshmand, M Verhelst, W Dehaene
ESSCIRC 2023-IEEE 49th European Solid State Circuits Conference (ESSCIRC …, 2023
2023
An Online-Spike-Sorting IC Using Unsupervised Geometry-Aware OSort Clustering for Efficient Embedded Neural-Signal Processing
Y Chen, B Tacca, Y Chen, D Biswas, G Gielen, F Catthoor, M Verhelst, ...
IEEE Journal of Solid-State Circuits, 2023
32023
Design Space Exploration of Deep Learning Accelerators
L Mei, M Verhelst
2023
Ultrasound In-Body Communication: Channels, Modems and Hardware for Ultrasound In-Body Communication Links
T Bos
2023
系统目前无法执行此操作,请稍后再试。
文章 1–20