Analyzing and modeling in-storage computing workloads on EISC—An FPGA-Based system-level emulation platform

Z Ruan, T He, J Cong - 2019 IEEE/ACM International …, 2019 - ieeexplore.ieee.org
2019 IEEE/ACM International Conference on Computer-Aided Design …, 2019ieeexplore.ieee.org
Storage drive technology has made continuous improvements over the last decade, shifting
the bottleneck of the data processing system from the storage drive to host/drive
interconnection. To overcome this “data movement wall,” people have proposed in-storage
computing (ISC) architectures which add the computing unit directly into the storage drive.
Rather than moving data from drive to host, it offloads computation from host to drive,
thereby alleviating the interconnection bottleneck. Though existing work shows the …
Storage drive technology has made continuous improvements over the last decade, shifting the bottleneck of the data processing system from the storage drive to host/drive interconnection. To overcome this “data movement wall,” people have proposed in-storage computing (ISC) architectures which add the computing unit directly into the storage drive. Rather than moving data from drive to host, it offloads computation from host to drive, thereby alleviating the interconnection bottleneck. Though existing work shows the effectiveness of ISC under some specific workloads, they have not tackled two critical issues: 1) ISC is still at the early research stage, and there is no available ISC device on the market. Researchers lack an effective way to accurately explore the benefits of ISC under different applications and different system parameters (drive performance and interconnection performance). 2) What kinds of applications can benefit from ISC, and what cannot? It is crucial to have a method to quickly discriminate between the types of applications before spending significant efforts to implement them. This paper gives a response to the above problems. First, we build a complete FPGA-based ISC emulation system to enable rapid exploration. To the best of our knowledge, it is the first open-source 11 https://github.com/zainryan/EISC, publicly accessible ISC emulation system. Second, we use our system to evaluate 12 common applications. The results give us the basic criteria for choosing ISC-friendly applications. By assuming a general drive program construct, we provide further insights by building an analytical model which enables an accurate quantitative analysis.
ieeexplore.ieee.org
以上显示的是最相近的搜索结果。 查看全部搜索结果