[PDF][PDF] Evaluating techniques for full system memory tracing

T Schmidt - Bachelor thesis, Operating Systems Group, Karlsruhe …, 2017 - os.itec.kit.edu
… basics of tracing with a particular focus on memory tracing. Furthermore, it gives a short
introduction to full system simulation and … Hmtt: a platform independent full-system memory trace

Informed Memory Access Monitoring

J Zhai, Y Jin, W Chen, W Zheng - … Analysis of Parallel Applications for HPC, 2023 - Springer
HMTT: A platform independent full-system memory trace monitoring system. In Proceedings
of the 2008 ACM SIGMETRICS International Conference on Measurement and Modeling of …

Moca: An efficient Memory trace collection system

D Beniamine, G Huard - 2016 - inria.hal.science
… In this study we present Moca, a new complete memory trace collection system, based on
page fault interception and false page faults injection, able to capture precisely the temporal …

Split'n Trace NVM: Leveraging Library OSes for Semantic Memory Tracing

C Hakert, KH Chen, S Kuenzer… - … Memory Systems …, 2020 - ieeexplore.ieee.org
… We extend a full-system simulator to trace-out the program … analysis on the HMTT platform
to acquire the full memory trace. Nalli … Hmtt: a platform independent full-system memory trace

Software-based memory analysis environments for in-memory wear-leveling

C Hakert, KH Chen, M Yayla… - 2020 25th Asia and …, 2020 - ieeexplore.ieee.org
… In this setup, we use the full system mode of gem5 to be able to also capture system calls and
Hmtt: A platform independent full-system memory trace monitoring system. In Proceedings …

HoPP: Hardware-Software Co-Designed Page Prefetching for Disaggregated Memory

H Li, K Liu, T Liang, Z Li, T Lu, H Yuan… - … Symposium on High …, 2023 - ieeexplore.ieee.org
… , we further exploit the full memory trace, and propose a set of … slow, eg, Gem5 with full
system mode is thousands of times … , we configure HMTT to write the traces collected in DRAM 0 …

HMFS: A hybrid in-memory file system with version consistency

H Liu, L Huang, Y Zhu, S Zheng, Y Shen - Journal of Parallel and …, 2018 - Elsevier
… log-structured file systems, NOVA, F2FS and HMFS for a fair comparison. Third, we use …
HMTT [3] memory trace toolkit to capture the total memory traffic and the memory access traces

Analyzing memory accesses with modern processors

S Noll, J Teubner, N May, A Böhm - Proceedings of the 16th International …, 2020 - dl.acm.org
… In this work, we demonstrate that collecting memory traces with … tion that memory traces
provide detailed information about … HMTT: A Platform Independent Full-System Memory Trace

PTAT: An efficient and precise tool for tracing and profiling detailed TLB misses

J Zhang, Y Liu, H Li, X Zhu, M Chen - … on Embedded Computing Systems …, 2018 - dl.acm.org
Full-system simulators reach a higher accuracy because of these features… memory traces
are captured at cache block granularity (eg, 64 bytes). For offline trace analysis, an HMTT trace

PTAT: An efficient and precise tool for collecting detailed TLB miss traces

J Zhang, Y Liu, X Zhu, Y Ruan… - … Analysis of Systems and …, 2017 - ieeexplore.ieee.org
… method, Hybrid Memory Trace Toolkit (HMTT) [2][3] monitors memory reference traces. PTAT
puts … Chen, Yuan Ruan, Li Liu, “HMTT: A Platform Independent Full-System Memory Trace