D-RaNGe: Using commodity DRAM devices to generate true random numbers with low latency and high throughput

JS Kim, M Patel, H Hassan, L Orosa… - 2019 IEEE International …, 2019 - ieeexplore.ieee.org
2019 IEEE International Symposium on High Performance Computer …, 2019ieeexplore.ieee.org
We propose a new DRAM-based true random number generator (TRNG) that leverages
DRAM cells as an entropy source. The key idea is to intentionally violate the DRAM access
timing parameters and use the resulting errors as the source of randomness. Our technique
specifically decreases the DRAM row activation latency (timing parameter t R a D) below
manufacturer recommended specifications, to induce read errors, or activation failures, that
exhibit true random behavior. We then aggregate the resulting data from multiple cells to …
We propose a new DRAM-based true random number generator (TRNG) that leverages DRAM cells as an entropy source. The key idea is to intentionally violate the DRAM access timing parameters and use the resulting errors as the source of randomness. Our technique specifically decreases the DRAM row activation latency (timing parameter t R a D ) below manufacturer recommended specifications, to induce read errors, or activation failures, that exhibit true random behavior. We then aggregate the resulting data from multiple cells to obtain a TRNG capable of providing a high throughput of random numbers at low latency.
ieeexplore.ieee.org
以上显示的是最相近的搜索结果。 查看全部搜索结果