A 5 Gb/s time-interleaved voltage-mode duobinary encoding scheme for 3-D-stacked IC

JY Kim, J Lee, K Kim, S Joo, BM Moon… - IEEE Journal of Solid …, 2022 - ieeexplore.ieee.org
… Recently proposed HBM2E [3] has a TSV I/Os of 1024 and a bandwidth of 640 GB/s with a …
, “A 1.2 V 12.8 GB/s 2 Gb mobile wide-I/O DRAM with 4 X 128 I/Os using TSV-based stacking,” …

Energy efficient high bandwidth dram for throughput processors

JM O'Connor - 2021 - repositories.lib.utexas.edu
… to its immediately adjacent local interface I/Os, reducing the on-… TSV array required for an
HBM2 DRAM is estimated using … The simple unterminated 2 Gb/s signaling used in HBM2 will …

Low temperature SoIC bonding and stacking technology for 12-/16-Hi high bandwidth memory (HBM)

MF Chen, CH Tsai, T Ku, WC Chiou… - IEEE Transactions on …, 2020 - ieeexplore.ieee.org
… Similar to wide I/O, it is realized by TSV formation, /bump joint, … cores up to eight and
the BW up to 500–600 GB/s, … 1.2 V 12.8GB/s 2Gb mobile wide-I/O DRAM with 4×128 I/Os

An Energy Efficient 3D-Heterogeneous Main Memory Architecture for Mobile Devices

D M. Mathew, F S. Prado, ÉF Zulian, C Weis… - Proceedings of the …, 2020 - dl.acm.org
… of the SoC and connected via Through Silicon Via (TSV). We … with 4 MB DRAM and 16 MB
RRAM (scaling factor of 128). … A 1.2V 12.8GB/s 2Gb mobile Wide-I/O DRAM with 4x128 I/Os

Case study on integrated architecture for in-memory and in-storage computing

M Kim, SH Kim, HJ Lee, CE Rhee - Electronics, 2021 - mdpi.com
… Even though the physical interface (PHY), through-silicon via (TSV), … The 12.8 GOPS
consumes 2.3 W of power. … of energy-efficient 3D-stacked wide I/O DRAMs. In Proceedings of the …

An energy-efficient dram cache architecture for mobile platforms with pcm-based main memory

D Shin, H Jang, K Oh, JW Lee - ACM Transactions on Embedded …, 2022 - dl.acm.org
… a 1.2V 12.8GB/s 2Gb mobile Wide-I/O DRAM with 4x 128 I/Os using TSV-based stacking.
In Proceedings of the 2011 IEEE International Solid-state Circuits Conference. IEEE. …

A charge recycling stacked I/O in standard CMOS technology for wide TSV data bus

T Yoshikawa, T Iwata, J Shibazaki, S Muroga… - IEICE Electronics …, 2020 - jstage.jst.go.jp
2 story data bus architectures of 64 bits (32×2) and 128 bits (… DDR low-power embedded
DRAM nacro for a 3D graphics … “An 8× 10-Gb/s source-synchronous I/O system based on high…

Design of Low-Power Transceiver for Memory Interface

박정훈 - 2023 - s-space.snu.ac.kr
… its bandwidth to hundreds of GB/s with high data throughput … phase difference of 1000+
I/Os can be large enough to … 5.19 shows the eye diagram measured at 12.8Gb/s, 20Gb/s, …

[图书][B] Wireless Interface Technologies for 3D IC and Module Integration

T Kuroda, WY Yip - 2021 - books.google.com
… The 512 GB/s stacked DRAM interface we developed in the … TSV enables a larger number
of dice to be stacked: in the … It consists of one or more Wide I/O DRAM dice stacked on top of a …

[图书][B] Near Memory Processing in Hybrid Memory System 3D-DRAM vs. 3D-NVM

MS Hosseini - 2021 - search.proquest.com
… ], and Samsung’s Wide I/O [72] are examples of 3D … This value is 160-320 GB/s for HMC [4].
This is achieved by utilizing … TSV-based interconnection provides a low latency and energy …