DWC: Dynamic write consolidation for phase change memory systems

F Xia, D Jiang, J Xiong, M Chen, L Zhang… - Proceedings of the 28th …, 2014 - dl.acm.org
F Xia, D Jiang, J Xiong, M Chen, L Zhang, N Sun
Proceedings of the 28th ACM international conference on Supercomputing, 2014dl.acm.org
Phase change memory (PCM) is promising to become an alternative main memory thanks to
its better scalability and lower leakage than DRAM. However, the long write latency of PCM
puts it at a severe disadvantage against DRAM. In this paper, we propose a Dynamic Write
Consolidation (DWC) scheme to improve PCM memory system performance while reducing
energy consumption. This paper is motivated by the observation that a large fraction of a
cache line being written back to memory is not actually modified. DWC exploits the …
Phase change memory (PCM) is promising to become an alternative main memory thanks to its better scalability and lower leakage than DRAM. However, the long write latency of PCM puts it at a severe disadvantage against DRAM. In this paper, we propose a Dynamic Write Consolidation (DWC) scheme to improve PCM memory system performance while reducing energy consumption. This paper is motivated by the observation that a large fraction of a cache line being written back to memory is not actually modified. DWC exploits the unnecessary burst writes of unmodified data to consolidate multiple writes targeting the same row into one write. By doing so, DWC enables multiple writes to be send within one. DWC incurs low implementation overhead and shows significant efficiency. The evaluation results show that DWC achieves up to 35.7% performance improvement, and 17.9% on average. The effective write latency are reduced by up to 27.7%, and 16.0% on average. Moreover, DWC reduces the energy consumption by up to 35.3%, and 13.9% on average.
ACM Digital Library
以上显示的是最相近的搜索结果。 查看全部搜索结果