Grapefruit: An open-source, full-stack, and customizable automata processing on FPGAs

R Rahimi, E Sadredini, M Stan… - 2020 IEEE 28th Annual …, 2020 - ieeexplore.ieee.org
2020 IEEE 28th Annual International Symposium on Field …, 2020ieeexplore.ieee.org
Regular expressions have been widely used in various application domains such as
network security, machine learning, and natural language processing. Increasing demand
for accelerated regular expressions, or equivalently finite automata, has motivated many
efforts in designing FPGA accelerators. However, there is no framework that is publicly
available, comprehensive, parameterizable, general, full-stack, and easy-touse, all in one,
for design space exploration for a wide range of growing pattern matching applications on …
Regular expressions have been widely used in various application domains such as network security, machine learning, and natural language processing. Increasing demand for accelerated regular expressions, or equivalently finite automata, has motivated many efforts in designing FPGA accelerators. However, there is no framework that is publicly available, comprehensive, parameterizable, general, full-stack, and easy-touse, all in one, for design space exploration for a wide range of growing pattern matching applications on FPGAs. In this paper, we present Grapefruit, the first open-source, full-stack, efficient, scalable, and extendable automata processing framework on FPGAs. Grapefruit is equipped with an integrated compiler with many parameters for automata simulation, verification, minimization, transformation, and optimizations. Our modular and standard design allows researchers to add capabilities and explore various features for a target application. Our experimental results show that the hardware generated by Grapefruit performs 9%80% better than prior work that is not fully end-to-end and has 3.4 × higher throughput in a multi-stride solution than a single-stride solution.
ieeexplore.ieee.org
以上显示的是最相近的搜索结果。 查看全部搜索结果