Multi retention level STT-RAM cache designs with a dynamic refresh scheme

Z Sun, X Bi, H Li, WF Wong, ZL Ong, X Zhu… - proceedings of the 44th …, 2011 - dl.acm.org
… and lower level cache designs that use STT-RAM. In particular, our designs use STTRAM
cells with … , made possible by different magnetic tunneling junction (MTJ) designs. For the fast …

Architecture design with STT-RAM: Opportunities and challenges

P Chi, S Li, Y Cheng, Y Lu, SH Kang… - … and South Pacific design …, 2016 - ieeexplore.ieee.org
… This paper introduces state-of-the-art architectural approaches to adopt STT-RAM in the
cache and memory system design by taking advantage of the opportunities brought by …

An energy efficient cache design using spin torque transfer (STT) RAM

M Rasquinha, D Choudhary, S Chatterjee… - … electronics and design, 2010 - dl.acm.org
The on-chip memory is a dominant source of power and energy consumption in modern
and future processors. This paper explores the use of a new emerging non-volatile memory …

Relaxing non-volatility for fast and energy-efficient STT-RAM caches

CW Smullen, V Mohan, A Nigam… - 2011 IEEE 17th …, 2011 - ieeexplore.ieee.org
… We start by replacing all three levels of cache with the STT-RAM-based designs from
Section 3.4. The performance of this aggressive change is shown in Figure 13, with each bar …

Adaptive placement and migration policy for an STT-RAM-based hybrid cache

Z Wang, DA Jiménez, C Xu, G Sun… - 2014 IEEE 20th …, 2014 - ieeexplore.ieee.org
… hybrid cache design for the APM technique has 16 STT-RAM … a 20 STT-RAM lines and 1
SRAM line hybrid cache with a … a 1MB SRAM cache bank and 2MB STT-RAM cache bank for a …

Process variation aware data management for STT-RAM cache design

Z Sun, X Bi, H Li - … symposium on Low power electronics and design, 2012 - dl.acm.org
… the traditional STT-RAM dynamic nonuniform cache access (… and reduce 26.4% of STT-RAM
cache energy consumption, … of process variations on the STT-RAM design, we conducted …

Design of last-level on-chip cache using spin-torque transfer RAM (STT RAM)

W Xu, H Sun, X Wang, Y Chen… - IEEE Transactions on …, 2009 - ieeexplore.ieee.org
… Moreover, we show that this design method can also reduce STT RAM cache energy … -speed
STT RAM cache design method that can improve the performance of STT RAM cache with …

Cache revive: Architecting volatile STT-RAM caches for enhanced performance in CMPs

A Jog, AK Mishra, C Xu, Y Xie, V Narayanan… - … the 49th Annual Design …, 2012 - dl.acm.org
… -RAM cells with lower retention time against the overhead for … cache lines with longer
lifetimes. In this paper, we compare 3 different STT-RAM based cache designs: (1) STTRAM cache

Energy-efficient runtime adaptable L1 STT-RAM cache design

K Kuan, T Adegbija - … Transactions on Computer-Aided Design …, 2019 - ieeexplore.ieee.org
… Targeting the L1 cache, due to its high number of dynamic operations, this paper aims to
mitigate the energy overheads of STT-RAM caches. We also aim to realize STT-RAM caches

DASCA: Dead write prediction assisted STT-RAM cache architecture

J Ahn, S Yoo, K Choi - 2014 IEEE 20th International …, 2014 - ieeexplore.ieee.org
… rates, we utilize it to reduce write energy consumption of STT-RAM caches. • We propose
an STT-RAM last-level cache design that predicts and bypasses dead writes for write energy …