An overview of energy-efficient hardware accelerators for on-device deep-neural-network training

J Lee, HJ Yoo - IEEE Open Journal of the Solid-State Circuits …, 2021 - ieeexplore.ieee.org
… Therefore, high energy-efficient hardware accelerator is … UNPU: An energy-efficient deep
neural network accelerator … -scalable convolutional neural network processor in 28nm fdsoi." In …

Compute-efficient neural-network acceleration

E Wu, X Zhang, D Berman, I Cho… - Proceedings of the 2019 …, 2019 - dl.acm.org
… , maximizing both operating clock rates and compute efficiency is … neural network accelerator
that operates at 92.9% of the peak FPGA clock rate. First, we map neural-network operators …

An overview of efficient interconnection networks for deep neural network accelerators

SM Nabavinejad, M Baharloo, KC Chen… - IEEE Journal on …, 2020 - ieeexplore.ieee.org
… flexible interconnection, the DNN accelerator can support different … accelerator design.
This paper systematically investigates the interconnection networks in modern DNN accelerator

A precision-scalable energy-efficient convolutional neural network accelerator

W Liu, J Lin, Z Wang - … Transactions on Circuits and Systems I …, 2020 - ieeexplore.ieee.org
… This paper presents an energy-efficient precision-scalable CNN accelerator which reduces
the computational complexity of processing CNN models. In this accelerator, a complexity…

Weight-oriented approximation for energy-efficient neural network inference accelerators

ZG Tasoulas, G Zervakis… - … on Circuits and …, 2020 - ieeexplore.ieee.org
… to improve the efficiency of computing systems by trading … efficient automated framework
for mapping the NN weights to the accuracy levels of the approximate reconfigurable accelerator

Energy-efficient neural network accelerator based on outlier-aware low-precision computation

E Park, D Kim, S Yoo - 2018 ACM/IEEE 45th Annual …, 2018 - ieeexplore.ieee.org
accelerator called OLAccel that implements 4-bit computations on very deep neural networks
OLAccel differently handles outlier activations and weights for computational efficiency. • …

An efficient and flexible accelerator design for sparse convolutional neural networks

X Xie, J Lin, Z Wang, J Wei - … on Circuits and Systems I: Regular …, 2021 - ieeexplore.ieee.org
… power efficiency with the same sparsity. Compared to prior dense accelerators, this accelerator
… To resolve this issue, an efficient sparsity-aware accelerator for BLOs is developed in this …

Tetris: Scalable and efficient neural network acceleration with 3d memory

M Gao, J Pu, X Yang, M Horowitz… - Proceedings of the Twenty …, 2017 - dl.acm.org
efficiency compared to general-purpose platforms [5, 7, 12, 18, 36]. Ideally, we would like to
continue scaling the performance and efficiency of NN accelerators … for NN accelerators. To …

SparseNN: An energy-efficient neural network accelerator exploiting input and output sparsity

J Zhu, J Jiang, X Chen, CY Tsui - 2018 Design, Automation & …, 2018 - ieeexplore.ieee.org
… and processing elements connected through a dedicated on-chip network. Compared with
the state-of-the-art accelerators which only exploit the input sparsity, SparseNN can achieve a …

DeltaRNN: A power-efficient recurrent neural network accelerator

C Gao, D Neil, E Ceolini, SC Liu… - Proceedings of the 2018 …, 2018 - dl.acm.org
… In this paper, a GRU-RNN accelerator architecture called the DeltaRNN (DRNN) is proposed.
This implementation is based on the Delta Network (DN) algorithm that skips dispensable …