Migration-aware loop retiming for STT-RAM-based hybrid cache in embedded systems

K Qiu, M Zhao, Q Li, C Fu… - IEEE Transactions on …, 2014 - ieeexplore.ieee.org
… For stencil loops with read and write data dependencies, we observe that migration … and
write memory access pattern in a memory block. This paper proposes a loop retiming framework …

Brloop: Constructing balanced retimed loop to architect stt-ram-based hybrid cache for vliw processors

K Qiu, Y Zhu, Y Xu, Q Huo, CJ Xue - Microelectronics Journal, 2019 - Elsevier
STT-RAM-based hybrid cache for VLIW processors. Addressing this issue, this paper
models … impacts of loop retiming on both ILP of ALUs and migration overhead in STT-RAM/SRAM …

Balanced loop retiming to effectively architect STT-RAM-based hybrid cache for VLIW processors

K Qiu, W Zhang, X Wu, X Zhu, J Wang, Y Xu… - Proceedings of the 31st …, 2016 - dl.acm.org
… Unfortunately, the traditional ILP-aware loop retiming … -aware loop retiming approaches
focusing on memory part have not … loop retiming approach to effectively architect the STT-RAM-…

Migration-aware loop retiming for STT-RAM based hybrid cache for embedded systems

K Qiu, M Zhao, C Fu, L Shi… - 2013 IEEE 24th …, 2013 - ieeexplore.ieee.org
… data array operations in loops, migrations incline to be … a loop retiming approach to reduce
migrations in loops and thereby improve the energy efficiency and performance of STTRAM

Refresh-aware loop scheduling for high performance low power volatile STT-RAM

K Qiu, J Luo, Z Gong, W Zhang, J Wang… - 2016 IEEE 34th …, 2016 - ieeexplore.ieee.org
Loops are usually the most computation intensive part of an embedded … for loop applications
on STT-RAM. A lot of work has been done to mitigate costly write operations on STT-RAM [4]…

Compiler-assisted refresh minimization for volatile STT-RAM cache

Q Li, Y He, J Li, L Shi, Y Chen… - IEEE Transactions on …, 2014 - ieeexplore.ieee.org
… -RAM) as a new candidate for building caches [1]. Compared to SRAM, STTRAM has higher
storage density and negligible leakage … The kernel code is the loop starting at line 7. In this …

Prefetching techniques for STT-RAM based last-level cache in CMP systems

M Mao, G Sun, Y Li, AK Jones… - 2014 19th Asia and …, 2014 - ieeexplore.ieee.org
… TABLE I: The timing and energy parameters of 2/4/8MB STT-RAM LLC at 45nm technology
… eventually put the system into a negative feedback loop. The general solutions of this issue …

Computing in memory with spin-transfer torque magnetic RAM

S Jain, A Ranjan, K Roy… - IEEE Transactions on …, 2017 - ieeexplore.ieee.org
… 12(c), in the kth iteration of the outer loop, a special write … is amortized over all inner loop
iterations, and is observed to … operations to obtain array-level timing and energy characteristics. …

Low-power non-volatile spintronic memory: STT-RAM and beyond

KL Wang, JG Alzate, PK Amiri - Journal of Physics D: Applied …, 2013 - iopscience.iop.org
… Therefore, the combination of STT and TMR gives rise to the memory loops observed in
the … of the MTJ by proper timing of the pulse [45, 48, 49], in an analogous fashion to the C-…

[PDF][PDF] STTRAM SCALING AND RETENTION FAILURE.

H Naeimi, C Augustine, A Raychowdhury, SL Lu… - intel technology …, 2013 - intel.com
… Recent advances in nonvolatile spin transfer torque (STT) RAM … We use the timing model in
[23] where the read access is 3 … The inner loop rotates N times. Then this process is repeated …