Reliable congestion-aware path prediction mechanism in 2D NoCs based on EFuNN

M Rezaei-Ravari, V Sattari-Naeini - The Journal of Supercomputing, 2018 - Springer
The efficiency of networks-on-chip (NoC) is affected by related routing algorithms. This
paper aims to develop a reliable routing mechanism in 2D mesh-based NoCs based on …

Low Latency Path Prediction Mechanism in 2D-NoC

M Rezaei-Ravari… - … Engineering (ICEE), Iranian …, 2018 - ieeexplore.ieee.org
Network-on-Chip (NoC) has an efficient on-chip communication solution for Chip Multi-
Processors (CMPs) in order to overcome traditional bus connections. One of the …

Dynamic clustering-based routing scheme for 2D-mesh networks-on-chip

M Rezaei-Ravari, V Sattari-Naeini - Microelectronics Journal, 2018 - Elsevier
The efficiency of Networks on Chip (NoC) is affected by related routing algorithms.
Moreover, clustering can be utilized to improve the performance of the related routing …

Low‐cost regional‐based congestion‐aware routing algorithm for 2D mesh NoC

S Vazifedunn, A Reza… - International Journal of …, 2023 - Wiley Online Library
Given the advantages of network‐on‐chips (NoCs), they are rapidly improving to replace
other forms of System‐on‐Chip (SoC) designs. Although various factors improve the NoC's …

A performance enhanced adaptive routing algorithm for 3D Network-on-Chips

L Zeng, T Pan, X Jiang… - TENCON 2015-2015 IEEE …, 2015 - ieeexplore.ieee.org
As the technology of semiconductor continues to develop, hundreds of cores will be
deployed on a signal die in the future Chip-Multiprocessors (CMPs) design. So Three …

EDXY–A low cost congestion-aware routing algorithm for network-on-chips

P Lotfi-Kamran, AM Rahmani, M Daneshtalab… - Journal of Systems …, 2010 - Elsevier
In this paper, an adaptive routing algorithm for two-dimensional mesh network-on-chips
(NoCs) is presented. The algorithm, which is based on Dynamic XY (DyXY), is called …

G-CARA: A Global Congestion-Aware Routing Algorithm for traffic management in 3D networks-on-chip

N Nosrati, HS Shahhoseini - 2017 Iranian Conference on …, 2017 - ieeexplore.ieee.org
Congestion-aware routing algorithms improve the network performance by selecting less
congested paths between source and destination routers. In this paper, a Global Congestion …

Minimal fully adaptive fuzzy-based routing algorithm for Networks-on-Chip

N Salehi, A Dana - IEICE Electronics Express, 2011 - jstage.jst.go.jp
Network-on-Chip (NoC) is proposed as a scalable and reusable communication platform for
future embedded systems. The performance of NoC largely depends on the underlying …

Improved route selection approaches using Q-learning framework for 2D NoCs

N Gupta, M Kumar, A Sharma, MS Gaur… - Proceedings of the 3rd …, 2015 - dl.acm.org
With the emergence of large multi-core architectures, a volume of research has been
focused on distributing traffic evenly over the whole network. However, increase in traffic …

An efficient highly adaptive and deadlock-free routing algorithm for 3D network-on-chip

L Zeng, T Pan, X Jiang, T Watanabe - IEICE Transactions on …, 2016 - search.ieice.org
As the semiconductor technology continues to develop, hundreds of cores will be deployed
on a single die in the future Chip-Multiprocessors (CMPs) design. Three-Dimensional …