Chip-package interaction: Challenges and solutions to mechanical stability of Back end of Line at 28nm node and beyond for advanced flip chip application

F Kuechenmeister, D Breuer, H Geisler… - 2012 IEEE 14th …, 2012 - ieeexplore.ieee.org
Fhis paper discusses the extensive development work carried out by GLOBALFOUNDRIES
to mitigate chip-package interaction (CPI) risks for the silicon Backend of Line (BEOL) during …

A generic strategy to assess and mitigate chip package interaction risk factors for semiconductor devices with ultra-low k dielectric materials in back end of line

F Kuechenmeister, D Breuer… - International …, 2017 - meridian.allenpress.com
This paper describes a systematic approach to the identification of primary contributing
factors to the Chip Package Interaction (CPI) risk and reveals the mitigation strategy …

Chip Package Interaction (CPI) risk assessment on 28nm Back End of Line (BEOL) stack of a large I/O chip using compact 3D FEA modeling

C Shah, F Mirza… - 2013 IEEE 15th …, 2013 - ieeexplore.ieee.org
Chip Package Interaction (CPI) is a widely recognized quality and reliability challenge for flip-
chip packages due to the ultra low-K materials used within the silicon Back End of Line …

High reliability packaging technologies and process for ultra low k Flip Chip devices

J Park, YH Kim, SH Na, JY Kim… - 2015 IEEE 65th …, 2015 - ieeexplore.ieee.org
Ultra-high density chips are required for higher device performance, lower power
consumption and a smaller form factor device. The interconnection between the chip and …

Chip package interaction analysis for 20-nm technology with thermo-compression bonding with non-conductive paste

JK Cho, S Gao, S Choi, RS Smith… - 2015 IEEE 65th …, 2015 - ieeexplore.ieee.org
The need for high performance and multi-functional devices drove silicon manufacturers to
introduce ultra-low dielectric constant (ULK) materials into the back-end-of-line (BEOL) of …

Advanced chip package interaction qualification for critical stacks in combination with Cu pillar interconnect technology

B Boehme, C Goetze, S Dej, PH Wang… - 2016 6th Electronic …, 2016 - ieeexplore.ieee.org
For mobile applications, advanced silicon technology nodes are using Back End Of Line
(BEOL) stacks with Ultra Low-k (ULK) materials. Employing these ULK materials in …

Chip Packaging Interaction (CPI) with Cu Pillar Flip Chip for 20 nm Silicon Technology and Beyond

S Gao, RS Smith, JK Cho, S Choi… - ECS Journal of Solid …, 2014 - iopscience.iop.org
Chip packaging interaction (CPI) has drawn great attention to advanced silicon technology
nodes due to the introduction of Low-K (LK) and Ultra Low-K (ULK) materials in back end of …

Chip-package-interaction modeling of ultra low-k/copper back end of line

XH Liu, TM Shaw, MW Lane, EG Liniger… - 2007 IEEE …, 2007 - ieeexplore.ieee.org
Ultra low-k (ULK, k= 2.4) dielectric has weaker mechanical properties than first generation
low-k films (k= 3.0). The introduction of ULK into advanced back end of lines (BEOL) …

Chip-package interaction challenges for large die applications

ZJ Wu, C Carey, S Donavan, D Hunt… - 2018 IEEE 68th …, 2018 - ieeexplore.ieee.org
To enable higher computing power in a single chip, there is demand for increasing die size
for high performance applications in advanced nodes. Due to the weak mechanical …

Cu bump flip chip package reliability on 28nm technology

PH Tsao, S Hsu, YL Kuo, JH Chen… - 2016 IEEE 66th …, 2016 - ieeexplore.ieee.org
As Cu bump is widely adopted in microelectronic IC product packages for broader scope of
applications throughout network communication and handheld device, it impacts on the …