A low power deterministic test using scan chain disable technique

Z You, T Iwagaki, M Inoue… - IEICE TRANSACTIONS on …, 2006 - search.ieice.org
This paper proposes a low power scan test scheme and formulates a problem based on this
scheme. In this scheme the flip-flops are grouped into N scan chains. At any time, only one …

Modified scan architecture for an effective scan testing

K Paramasivam, K Gunavathi… - TENCON 2008-2008 …, 2008 - ieeexplore.ieee.org
Latest VLSI circuits face the problem of power dissipation not only in design phase but also
during testing phase. Power dissipation during testing may be increased up to three times …

[PDF][PDF] A Modified Scan-D Flip-flop Design to Reduce Test Power.

SP Khatri, S Ganesan - 15th IEEE/TTTC International Test …, 2008 - people.engr.tamu.edu
Power consumption in scan based testing is high due to the toggling of the combinational
logic during the scan shift. In this paper, we present a modified Scan Flip-flop architecture …

A novel technique to reduce both leakage and peak power during scan testing

S Kundu, S Chattopadhyay… - 2008 IEEE Region 10 and …, 2008 - ieeexplore.ieee.org
This paper addresses the issue of blocking pattern selection to reduce both leakage and
peak power consumption during circuit testing using scan-based approach. The blocking …

Elimination of output gating performance overhead for critical paths in scan test

AK Suhag, S Ahlawat… - … Journal of Circuits …, 2013 - inderscienceonline.com
Excessive switching activity in test mode results in higher power dissipation than normal
mode of operation and becoming a serious issue, in order to avoid reliability problems …

VLSI implementation of low power scan based testing

S Ukey, S Rathkanthiwar… - … on Communication and …, 2016 - ieeexplore.ieee.org
Power consumption in test becomes a higher barrier for consideration in test of any
combinational circuit is high during test mode as in its normal mode of functioning as …

An Adjustable Clock Scan Structure for Reducing Testing Peak Power

Z Jinyi, Z Tianbao, Y Feng… - 2007 8th International …, 2007 - ieeexplore.ieee.org
Power consumption during testing is becoming a primary concern. In this paper, an
adjustable clock scan structure is presented. It can significantly reduce the peak power …

A New Scan Partition Scheme for Low‐Power Embedded Systems

HS Kim, CG Kim, S Kang - ETRI journal, 2008 - Wiley Online Library
A new scan partition architecture to reduce both the average and peak power dissipation
during scan testing is proposed for low‐power embedded systems. In scan‐based testing …

A cocktail approach on random access scan toward low power and high efficiency test

SP Lin, CL Lee, JE Chen - ICCAD-2005. IEEE/ACM …, 2005 - ieeexplore.ieee.org
Scan design, providing a good test solution to sequential circuits, suffers large data volume,
long test time and high test power problem. Recently, the random access scan (RAS) …

[PDF][PDF] Scan latch partitioning into multiple scan chains for power minimization in full scan sequential circuits

N Nicolici, BM Al-Hashimi - Proceedings of the conference on Design …, 2000 - dl.acm.org
Power dissipated during test application is substantially higher than power dissipated during
functional operation [22] which can decrease the reliability and lead to yield loss. This paper …