Exploiting test resource optimization in data path synthesis for BIST

X Li, PYS Cheung - … Ninth Great Lakes Symposium on VLSI, 1999 - ieeexplore.ieee.org
Area and test time are two major overheads encountered during data path synthesis for
BIST. This paper presents an attempt towards testability enhancement in data path BIST …

Data path synthesis for BIST with low area overhead

X Li, PYS Cheung - Proceedings of the ASP-DAC'99 Asia and …, 1999 - ieeexplore.ieee.org
This paper presents an attempt towards design quality improvement by incorporating of self-
testability features during data path (high-level) synthesis. This method is based on the use …

Test session oriented built-in self-testable data path synthesis

HB Kim, T Takahashi, DS Ha - Proceedings International Test …, 1998 - ieeexplore.ieee.org
Existing high-level BIST synthesis methods focus on one objective, minimizing either area
overhead or test time. Hence, those methods do not render exploration of large design …

Structural BIST insertion using behavioral test analysis

M Nourani, C Papachristou - … and Test Conference. ED & TC …, 1997 - ieeexplore.ieee.org
The purpose of this work is to develop a test synthesis technique based on BIST
methodology which uses the test metrics (ie controllability and observability) obtained by test …

An effective BIST scheme for datapaths

D Gizopoulos, A Paschalis… - … Test Conference 1996 …, 1996 - ieeexplore.ieee.org
Datapath architectures are widely used in today's complex integrated circuits, such as
commercial microprocessors or specialized digital signal processors. A very effective BIST …

An effective BIST scheme for arithmetic logic units

D Gizopoulos, A Pachalis, Y Zorian… - … Test Conference 1997, 1997 - ieeexplore.ieee.org
Multifunction arithmetic logic units (ALUs) that realize complex arithmetic and logic
operations (like the operations of the 74/spl times/181 family) are widely used in today's …

Tackling test trade-offs for BIST RTL data paths: BIST area overhead, test application time and power dissipation

N Nicolici, BM Al-Hashimi - Proceedings International Test …, 2001 - ieeexplore.ieee.org
Power dissipation during test application is an emerging problem due to yield and reliability
concerns. This paper focuses on BIST for RTL data paths and discusses testability trade-offs …

Test structure verification of logical BIST: problems and solutions

M Cogswell, D Pearl, J Sage… - … Test Conference 2000 …, 2000 - ieeexplore.ieee.org
Test Structure Verification (TSV) is the process used to assess the conformance of a circuit to
a set of predefine Design For Testability (DFT) rules. Test generation algorithms are typically …

BIST testability enhancement using high level test synthesis for behavioral and structural designs

K Lai, CA Papachristou… - Proceedings Sixth Asian …, 1997 - ieeexplore.ieee.org
BIST Testability Enhancement Using High Level Test Synthesis For Behavioral And Structural
Designs - Test Symposium, 1997. (ATS Page 1 BIST Testability Enhancement Using High …

BIST and delay fault detection

S Pilarski, A Pierzynska - Proceedings of IEEE International …, 1993 - ieeexplore.ieee.org
We propose simple modifications to existing BIST schemes. These modifications
significantly improve the path delay fault coverage. For example, a modified circular self-test …