Soft error effects on arm microprocessors: Early estimations versus chip measurements

PR Bodmann, G Papadimitriou… - IEEE Transactions …, 2021 - ieeexplore.ieee.org
Extensive research efforts are being carried out to evaluate and improve the reliability of
computing devices either through beam experiments or simulation-based fault injection …

The impact of soc integration and os deployment on the reliability of arm processors

P Bodmann, G Papadimitriou… - … Analysis of Systems …, 2021 - ieeexplore.ieee.org
Arm CPU architectures, thanks to their efficiency and flexibility, have been widely adopted in
portable user devices such as smartphones, tablets, and laptops. Recently, the high …

Demystifying soft error assessment strategies on arm cpus: Microarchitectural fault injection vs. neutron beam experiments

A Chatzidimitriou, P Bodmann… - 2019 49th Annual …, 2019 - ieeexplore.ieee.org
Fault injection in early microarchitecture-level simulation CPU models and beam
experiments on the final physical CPU chip are two established methodologies to access the …

[PDF][PDF] Fault injection verification of IBM POWER6 soft error resilience

P Kudva, J Kellington, P Sanda, R McBeth… - … on Architectural Support …, 2007 - Citeseer
Full chip statistical fault injection has been performed on a hardware emulated POWER6
platform. These results were validated against proton beam injection results. The fault …

Understanding soft errors in uncore components

H Cho, CY Cher, T Shepherd, S Mitra - Proceedings of the 52Nd Annual …, 2015 - dl.acm.org
The effects of soft errors in processor cores have been widely studied. However, little has
been published about soft errors in uncore components, such as memory subsystem and I/O …

Syra: Early system reliability analysis for cross-layer soft errors resilience in memory arrays of microprocessor systems

A Vallero, A Savino, A Chatzidimitriou… - IEEE Transactions …, 2018 - ieeexplore.ieee.org
Cross-layer reliability is becoming the preferred solution when reliability is a concern in the
design of a microprocessor-based system. Nevertheless, deciding how to distribute the error …

Differential fault injection on microarchitectural simulators

M Kaliorakis, S Tselonis… - 2015 IEEE …, 2015 - ieeexplore.ieee.org
Fault injection on micro architectural structures modeled in performance simulators is an
effective method for the assessment of microprocessors reliability in early design stages …

MeRLiN: Exploiting dynamic instruction behavior for fast and accurate microarchitecture level reliability assessment

M Kaliorakis, D Gizopoulos, R Canal… - Proceedings of the 44th …, 2017 - dl.acm.org
Early reliability assessment of hardware structures using microarchitecture level simulators
can effectively guide major error protection decisions in microprocessor design. Statistical …

[PDF][PDF] Exploring the synergy of emerging workloads and silicon reliability trends

M De Kruijf, K Sankaralingam - 2009 - Citeseer
Technology constraints and application characteristics are radically changing as we scale to
the end of silicon technology. Devices are becoming increasingly brittle, highly varying in …

Statistical reliability estimation of microprocessor-based systems

A Savino, S Di Carlo, G Politano… - IEEE Transactions …, 2011 - ieeexplore.ieee.org
What is the probability that the execution state of a given microprocessor running a given
application is correct, in a certain working environment with a given soft-error rate? Trying to …