Data motif-based proxy benchmarks for big data and AI workloads

W Gao, J Zhan, L Wang, C Luo, Z Jia… - 2018 IEEE …, 2018 - ieeexplore.ieee.org
W Gao, J Zhan, L Wang, C Luo, Z Jia, D Zheng, C Zheng, X He, H Ye, H Wang, R Ren
2018 IEEE International Symposium on Workload Characterization (IISWC), 2018ieeexplore.ieee.org
For the architecture community, reasonable simulation time is a strong requirement in
addition to performance data accuracy. However, emerging big data and AI workloads are
too huge at binary size level and prohibitively expensive to run on cycle-accurate simulators.
The concept of data motif, which is identified as a class of units of computation performed on
initial or intermediate data, is the first step towards building proxy benchmark to mimic the
real-world big data and AI workloads. However, there is no practical way to construct a proxy …
For the architecture community, reasonable simulation time is a strong requirement in addition to performance data accuracy. However, emerging big data and AI workloads are too huge at binary size level and prohibitively expensive to run on cycle-accurate simulators. The concept of data motif, which is identified as a class of units of computation performed on initial or intermediate data, is the first step towards building proxy benchmark to mimic the real-world big data and AI workloads. However, there is no practical way to construct a proxy benchmark based on the data motifs to help simulation based research. In this paper, we embark on a study to bridge the gap between data motif and a practical proxy benchmark. We propose a data motif-based proxy benchmark generating methodology by means of machine learning method, which combine data motifs with different weights to mimic the big data and AI workloads. Furthermore, we implement various data motifs using light-weight stacks and apply the methodology to five real-world workloads to construct a suite of proxy benchmarks, considering the data types, patterns, and distributions. The evaluation results show that our proxy benchmarks shorten the execution time by 100s times on real systems while maintaining the average system and micro-architecture performance data accuracy above 90%, even changing the input data sets or cluster configurations. Moreover, the generated proxy benchmarks reflect consistent performance trends across different architectures. To facilitate the community, we will release the proxy benchmarks on the project homepage http://prof.ict.ac.cn/BigDataBench.
ieeexplore.ieee.org
以上显示的是最相近的搜索结果。 查看全部搜索结果

Google学术搜索按钮

example.edu/paper.pdf
查找
获取 PDF 文件
引用
References