Rowpress: Amplifying read disturbance in modern dram chips

H Luo, A Olgun, AG Yağlıkçı, YC Tuğrul… - Proceedings of the 50th …, 2023 - dl.acm.org
Memory isolation is critical for system reliability, security, and safety. Unfortunately, read
disturbance can break memory isolation in modern DRAM chips. For example, RowHammer …

Scalable and secure row-swap: Efficient and safe row hammer mitigation in memory systems

J Woo, G Saileshwar, PJ Nair - 2023 IEEE International …, 2023 - ieeexplore.ieee.org
As Dynamic Random Access Memories (DRAM) scale, they are becoming increasingly
susceptible to Row Hammer. By rapidly activating rows of DRAM cells (aggressor rows) …

DRAM bender: An extensible and versatile FPGA-based infrastructure to easily test state-of-the-art DRAM chips

A Olgun, H Hassan, AG Yağlıkçı… - … on Computer-Aided …, 2023 - ieeexplore.ieee.org
To understand and improve DRAM performance, reliability, security, and energy efficiency,
prior works study characteristics of commodity DRAM chips. Unfortunately, state-of-the-art …

An experimental analysis of RowHammer in HBM2 DRAM chips

A Olgun, M Osseiran, AG Yağlıkçı… - 2023 53rd Annual …, 2023 - ieeexplore.ieee.org
RowHammer (RH) is a significant and worsening security, safety, and reliability issue of
modern DRAM chips that can be exploited to break memory isolation. Therefore, it is …

Siloz: Leveraging DRAM Isolation Domains to Prevent Inter-VM Rowhammer

K Loughlin, J Rosenblum, S Saroiu, A Wolman… - Proceedings of the 29th …, 2023 - dl.acm.org
Today's cloud DRAM lacks strong isolation primitives, highlighted by Rowhammer bit flips.
Rowhammer poses an increasing threat to cloud security/reliability, given (1) DRAM …

Functionally-Complete Boolean Logic in Real DRAM Chips: Experimental Characterization and Analysis

İE Yüksel, YC Tuğrul, A Olgun… - … Symposium on High …, 2024 - ieeexplore.ieee.org
Processing-using-DRAM (PuD) is an emerging paradigm that leverages the analog
operational properties of DRAM circuitry to enable massively parallel in-DRAM computation …

Dnn-defender: An in-dram deep neural network defense mechanism for adversarial weight attack

R Zhou, S Ahmed, AS Rakin, S Angizi - arXiv preprint arXiv:2305.08034, 2023 - arxiv.org
With deep learning deployed in many security-sensitive areas, machine learning security is
becoming progressively important. Recent studies demonstrate attackers can exploit system …

CoMeT: Count-Min-Sketch-based Row Tracking to Mitigate RowHammer at Low Cost

FN Bostanci, ISE Yüksel, A Olgun… - … Symposium on High …, 2024 - ieeexplore.ieee.org
DRAM chips are increasingly more vulnerable to read-disturbance phenomena (eg,
RowHammer and RowPress), where repeatedly accessing DRAM rows causes bitflips in …

Exploring the Horizon: A Comprehensive Survey of Rowhammer

A Naseredini - arXiv preprint arXiv:2310.06950, 2023 - arxiv.org
Rowhammer poses a significant security challenge for modern computers, specifically
affecting Dynamic Random Access Memory (DRAM). Given society's growing reliance on …

Blaster: Characterizing the blast radius of rowhammer

Z Lang, P Jattke, M Marazzi… - 3rd Workshop on …, 2023 - research-collection.ethz.ch
A decade after Rowhammer was first exposed, we are still learning about the intricacies of
this vulnerability inside DRAM. Making things worse, the shrinking of technology nodes …