CPU-free Computing: A Vision with a Blueprint

A Trivedi, MS Brunella - Proceedings of the 19th Workshop on Hot Topics …, 2023 - dl.acm.org
Since the inception of computing, we have been reliant on CPU-powered architectures.
However, today this reliance is challenged by manufacturing limitations (CMOS scaling) …

SDM: Sharing-Enabled Disaggregated Memory System with Cache Coherent Compute Express Link

H Lee, K Choi, HJ Lee, J Sim - 2023 32nd International …, 2023 - ieeexplore.ieee.org
Disaggregated memory has been gaining significant traction as a promising solution for
scaling memory capacity and better utilizing memory resources in data centers. However, a …

The difficult balance between modern hardware and conventional CPUs

F Maschi, G Alonso - Proceedings of the 19th International Workshop on …, 2023 - dl.acm.org
Research has demonstrated the potential of accelerators in a wide range of use cases.
However, there is a growing imbalance between modern hardware and the CPUs that …

XFM: Accelerated Software-Defined Far Memory

N Patel, A Mamandipoor, D Quinn, M Alian - Proceedings of the 56th …, 2023 - dl.acm.org
DRAM constitutes over 50% of server cost and 75% of the embodied carbon footprint of a
server. To mitigate DRAM cost, far memory architectures have emerged. They can be …

Exploring Performance and Cost Optimization with ASIC-Based CXL Memory

Y Tang, P Zhou, W Zhang, H Hu, Q Yang… - Proceedings of the …, 2024 - dl.acm.org
As memory-intensive applications continue to drive the need for advanced architectural
solutions, Compute Express Link (CXL) has risen as a promising interconnect technology …

BonsaiKV: Towards Fast, Scalable, and Persistent Key-Value Stores with Tiered, Heterogeneous Memory System

M Cai, J Shen, Y Yuan, Z Qu, B Ye - Proceedings of the VLDB …, 2023 - dl.acm.org
Emerging NUMA/CXL-based tiered memory systems with heterogeneous memory devices
such as DRAM and NVMM deliver ultrafast speed, large capacity, and data persistence all at …

Dynamic capacity service for improving CXL pooled memory efficiency

M Ha, J Ryu, J Choi, K Ko, S Kim, S Hyun, D Moon… - IEEE Micro, 2023 - ieeexplore.ieee.org
Compute Express Link (CXL) pooled memory is gaining attention from the industry as a
viable memory disaggregation solution offering memory expansion and alleviating memory …

Accelerating performance of gpu-based workloads using cxl

M Arif, A Maurya, MM Rafique - Proceedings of the 13th Workshop on AI …, 2023 - dl.acm.org
High-performance computing (HPC) workloads such as scientific simulations and deep
learning (DL) running across multi-GPU systems are memory and data-intensive, relying on …

Bridging Software-Hardware for CXL Memory Disaggregation in Billion-Scale Nearest Neighbor Search

J Jang, H Choi, H Bae, S Lee, M Kwon… - ACM Transactions on …, 2024 - dl.acm.org
We propose CXL-ANNS, a software-hardware collaborative approach to enable scalable
approximate nearest neighbor search (ANNS) services. To this end, we first disaggregate …

Object-oriented Unified Encrypted Memory Management for Heterogeneous Memory Architectures

M Sha, Y Cai, S Wang, LTX Phan, F Li… - Proceedings of the ACM …, 2024 - dl.acm.org
In contemporary database applications, the demand for memory resources is intensively
high. To enhance adaptability to varying resource needs and improve cost efficiency, the …