Performance investigation of GaSb/Si heterojunction based gate underlap and overlap vertical TFET biosensor

A Theja, M Panchore - IEEE Transactions on NanoBioscience, 2022 - ieeexplore.ieee.org
The present paper estimates the performance of vertically developed double gate GaSb/Si
tunnel field-effect transistor (V-DGTFET) biosensor with source pocket. A commercially …

Trench gate nanosheet FET to suppress leakage current from substrate parasitic channel

KS Lee, BD Yang, JY Park - IEEE Transactions on Electron …, 2023 - ieeexplore.ieee.org
Recently, nanosheet FETs (NS FETs) have been introduced as promising candidates for
beyond 3-nm node technology. However, difficulties remain for mass production of the NS …

[HTML][HTML] Structure of an In Situ Phosphorus-Doped Silicon Ultrathin Film Analyzed Using Second Harmonic Generation and Simplified Bond-Hyperpolarizability Model

WT Chen, TY Yen, YH Hung, KY Lo - Nanomaterials, 2022 - mdpi.com
In fabricating advanced silicon (Si)-based metal–oxide semiconductors, the ability to inspect
dopant distribution in Si ultrathin films (tens of nm) is crucial for monitoring the amount of …

Impact of the self-heating effect on nanosheet field effect transistor performance

B Smaani, N Paras, SB Rahi, YS Song… - ECS Journal of Solid …, 2023 - iopscience.iop.org
Abstract Nanosheet Field Effect Transistor (NSFET) has emerged as a promising candidate
to replace FinFET devices at sub-7nm technology nodes and for different SoC applications …

[HTML][HTML] Editorial for the Special Issue on Recent Advances in Thin Film Electronic Devices

C Dong - Micromachines, 2022 - mdpi.com
Thin film electronic devices have been attracting more and more attention because of their
applications in many industry fields, such as in flat panel displays (FPDs), energy devices …

Impact of device-to-device interference in nanosheet field-effect transistors

KS Lee, WC Shin, JW Yeon, JY Park - Microelectronics Reliability, 2023 - Elsevier
Nanosheet field-effect transistors (NS FETs) are a promising candidate for extremely scaled
logic devices beyond FinFETs. The benefits of NS FETs include superior design flexibility …

[HTML][HTML] Electrical performance of 28 nm-node varying channel-width nMOSFETs under DPN process treatments

SY Chao, WH Lan, SK Fan, ZW Zhon, MC Wang - Micromachines, 2022 - mdpi.com
The decoupled-plasma nitridation treatment process is an effective recipe for repairing the
trap issues when depositing high-k gate dielectric. Because of this effect, electrical …

Novel partial punch-through-stopper scheme for substrate leakage optimization of nanosheet field-effect transistors

H Luo, Y Li, F Zhao, JY Zhang, Y Li - Microelectronics Journal, 2024 - Elsevier
A novel and practicable partial punch-through-stopper (p-PTS) scheme beneath the gate
area is proposed for the substrate leakage current suppression in the gate-all-around (GAA) …

[HTML][HTML] Vacuum Inner Spacer to Improve Annealing Effect during Electro-Thermal Annealing of Nanosheet FETs

DH Wang, KS Lee, JY Park - Micromachines, 2022 - mdpi.com
Electro-thermal annealing (ETA) in a MOSFET utilizes Joule heating. The high-temperature
heat effectively cures gate dielectric damages induced by electrical stresses or ionizing …

An Analysis of Short Channel Effects in Gate All Around FET Devices, A TCAD Simulation

S Raveendran - 2023 - search.proquest.com
Abstract The Gate All Around (GAA) Field Effect Transistor (FET) is a type of MOS (Metal
Oxide Semiconductor) device that circumvents the problem of the existing FinFET devices …