A survey of SRAM-based in-memory computing techniques and applications

S Mittal, G Verma, B Kaushik, FA Khanday - Journal of Systems …, 2021 - Elsevier
As von Neumann computing architectures become increasingly constrained by data-
movement overheads, researchers have started exploring in-memory computing (IMC) …

Quadruple cross-coupled latch-based 10T and 12T SRAM bit-cell designs for highly reliable terrestrial applications

J Jiang, Y Xu, W Zhu, J Xiao… - IEEE Transactions on …, 2018 - ieeexplore.ieee.org
In this paper, quadruple cross-coupled storage cells (QUCCE) 10T and 12T are proposed in
130 nm CMOS technology. The QUCCE 10T and 12T are about 2× and 3.4× the minimum …

±CIM SRAM for signed in-memory broad-purpose computing from DSP to neural processing

S Jain, L Lin, M Alioto - IEEE Journal of Solid-State Circuits, 2021 - ieeexplore.ieee.org
This work introduces the±CIM SRAM macro having the unique capability of performing in-
memory multiply-and-accumulate computation with signed inputs and signed weights. This …

A novel PVT‐variation‐tolerant Schmitt‐trigger‐based 12T SRAM cell with improved write ability and high ION/IOFF ratio in sub‐threshold region

M Gupta, K Gupta, N Pandey - International Journal of Circuit …, 2021 - Wiley Online Library
This paper presents a process voltage temperature (PVT)‐variation‐tolerant Schmitt‐trigger‐
based 12T SRAM cell at 32 nm. The cell uses a modified Schmitt‐trigger action in all …

Analysis and design of reconfigurable sense amplifier for compute SRAM with high-speed compute and normal read access

J Chen, W Zhao, Y Wang, Y Ha - IEEE Transactions on Circuits …, 2021 - ieeexplore.ieee.org
A compute SRAM requires high speed for both compute access and normal read access.
However, it presents a very distinct bitline discharging behavior in these two modes, thus …

Energy-efficient high bandwidth 6T SRAM design on Intel 4 CMOS technology

Y Kim, C Ong, AM Pillai, H Jagadeesh… - IEEE Journal of Solid …, 2022 - ieeexplore.ieee.org
In this article, we present an energy-efficient high bandwidth array design using 0.0300-high-
performance SRAM bitcell on Intel 4 CMOS technology. By employing a unique combination …

A 4.13-GHz UHS Pseudo Two-Port SRAM With BL Charge Time Reduction and Flying Word-Line for HPC Applications in 4-nm FinFET Technology

J Kim, B Yook, Y Lee, T Choi, K Choi… - IEEE Journal of Solid …, 2024 - ieeexplore.ieee.org
In this article, we present a 4.13-GHz ultrahigh-speed (UHS) pseudo two-port SRAM for high-
performance computing (HPC) in 4-nm FinFET technology. By applying the bitline (BL) …

Disturbance aware dynamic power reduction in synchronous 2RW dual-port 8T SRAM by self-adjusting wordline pulse timing

Y Yokoyama, K Nii, Y Ishii, S Tanaka… - IEEE Journal of Solid …, 2022 - ieeexplore.ieee.org
An effective design is proposed to reduce dynamic power consumption for a common clock
synchronous two-read/write (2RW) dual-port (DP) 8T static random access memory (SRAM) …

A latch-based sense amplifier with improved performance for single ended SRAM application

B Rawat, P Mittal - Physica Scripta, 2023 - iopscience.iop.org
The growing processing load and decreasing technology node has augmented the need for
single ended memory. Consequently, generating requirement for a single ended sense …

Computational SRAM design automation using pushed-rule bitcells for energy-efficient vector processing

JP Noel, V Egloff, M Kooli, R Gauchi… - … , Automation & Test …, 2020 - ieeexplore.ieee.org
This paper presents a new methodology for automating the Computational SRAM (C-SRAM)
design based on off-the-shelf memory compilers and a configurable RTL IP. The main goal …