Systematic physics-compliant analysis of over-the-air channel equalization in ris-parametrized wireless networks-on-chip

J Tapie, H Prod'homme, MF Imani… - IEEE Journal on …, 2024 - ieeexplore.ieee.org
Wireless networks-on-chip (WNoCs) are an enticing complementary interconnect
technology for multi-core chips but face severe resource constraints. Being limited to simple …

Metasurface‐programmable wireless network‐on‐Chip

M F. Imani, S Abadal, P Del Hougne - Advanced Science, 2022 - Wiley Online Library
This paper introduces the concept of smart radio environments, currently intensely studied
for wireless communication in metasurface‐programmable meter‐scaled environments (eg …

A systematic analysis of power saving techniques for wireless network-on-chip architectures

F Yazdanpanah, R Afsharmazayejani - Journal of Systems Architecture, 2022 - Elsevier
Wireless network-on-chip (WNoC, aka WiNoC) architectures, as an emerging and viable
alternative approach, overcome the communication constraints and drawbacks of network …

Chip and Package-Scale Interconnects for General-Purpose, Domain-Specific and Quantum Computing Systems-Overview, Challenges and Opportunities

A Das, M Palesi, J Kim… - IEEE Journal on Emerging …, 2024 - ieeexplore.ieee.org
The anticipated end of Moore's law, coupled with the breakdown of Dennard scaling,
compelled everyone to conceive forthcoming computing systems once transistors reach their …

Thermal and performance efficient on-chip surface-wave communication for many-core systems in dark silicon era

A Karkar, N Dahir, T Mak, KF Tong - ACM Journal on Emerging …, 2022 - dl.acm.org
Due to the exceedingly high integration density of VLSI circuits and the resulting high power
density, thermal integrity became a major challenge. One way to tackle this problem is Dark …

Collective communication patterns using time-reversal terahertz links at the chip scale

F Rodríguez-Galán, A Bandara… - … 2023-2023 IEEE …, 2023 - ieeexplore.ieee.org
Wireless communications in the terahertz band have been recently proposed as
complement to conventional wired interconnects within computing packages. Such …

Towards spatial multiplexing in wireless networks within computing packages

F Rodríguez-Galán, EP de Santana… - Proceedings of the 9th …, 2022 - dl.acm.org
Wireless Networks-on-Chip (WNoCs) are regarded as a disruptive alternative to
conventional interconnection networks at the chip scale, yet limited by the relatively low …

SwiftDir: Secure cache coherence without overprotection

C Miao, K Bu, M Li, S Mao, J Jia - 2022 55th IEEE/ACM …, 2022 - ieeexplore.ieee.org
Cache coherence states have recently been exploited to leak secrets through timing-
channel attacks. The root cause lies in the fact that shared data in state Exclusive (E) and …

DynAMO: Improving Parallelism Through Dynamic Placement of Atomic Memory Operations

V Soria-Pardos, A Armejach, T Mück… - Proceedings of the 50th …, 2023 - dl.acm.org
With increasing core counts in modern multi-core designs, the overhead of synchronization
jeopardizes the scalability and efficiency of parallel applications. To mitigate these …

WiBS: A Modular and Scalable Wireless Infrastructure in a Cycle-Accurate NoC Simulator

M Saha, A Das, J Jose - 2022 15th IEEE/ACM International …, 2022 - ieeexplore.ieee.org
Network-on-Chip (NoC) has become a fundamental building block in modern Chip Multi-
Processors (CMPs) and plays a significant role in their performance. Nevertheless, ever …