Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?

K Ishikawa, K Karahashi, T Ishijima… - Japanese Journal of …, 2018 - iopscience.iop.org
In this review, we discuss the progress of emerging dry processes for nanoscale fabrication
of high-aspect-ratio features, including emerging design technology for manufacturability …

Etch selectivity during plasma-assisted etching of SiO2 and SiNx: Transitioning from reactive ion etching to atomic layer etching

RJ Gasvoda, Z Zhang, S Wang, EA Hudson… - Journal of Vacuum …, 2020 - pubs.aip.org
Continued downscaling of semiconductor devices has placed stringent constraints on all
aspects of the fabrication process including plasma-assisted anisotropic etching. To address …

Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions?

K Ishikawa, K Karahashi, T Ichiki… - Japanese Journal of …, 2017 - iopscience.iop.org
In this review, we discuss the progress of emerging dry processes for nanoscale fabrication.
Experts in the fields of plasma processing have contributed to addressing the increasingly …

Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plasma

A Mameli, MA Verheijen, AJM Mackus… - … applied materials & …, 2018 - ACS Publications
Atomic layer etching (ALE) provides Ångström-level control over material removal and
holds potential for addressing the challenges in nanomanufacturing faced by conventional …

Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma for thermal-cyclic atomic layer etching of silicon nitride

K Shinoda, N Miyoshi, H Kobayashi, M Izawa… - Journal of Vacuum …, 2019 - pubs.aip.org
Self-limiting reactions of ammonium salt in CHF 3/O 2 downstream plasma were
demonstrated for thermal-cyclic atomic layer etching (ALE) of Si 3 N 4. In situ x-ray …

Atomic layer etching of Si3N4 with high selectivity to SiO2 and poly-Si

N Miyoshi, K Shinoda, H Kobayashi… - Journal of Vacuum …, 2021 - pubs.aip.org
Atomic layer etching (ALE) is usually classified into ion-driven anisotropic etching or
thermally driven isotropic etching. In this work, we present a thermal ALE process for Si 3 N …

Highly selective Si3N4/SiO2 etching using an NF3/N2/O2/H2 remote plasma. I. Plasma source and critical fluxes

V Volynets, Y Barsukov, G Kim, JE Jung… - Journal of Vacuum …, 2020 - pubs.aip.org
Highly selective plasma etching of silicon nitride (Si 3 N 4), while not etching silicon dioxide
(SiO 2), is a critical step in the fabrication of microelectronics devices. In many applications …

Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation

T Iwase, Y Kamaji, SY Kang, K Koga… - Japanese journal of …, 2019 - iopscience.iop.org
Dramatic advances are being made in dry processing technologies. Atomic scale precision
below 10 nm is now possible with fine patterning technologies for high-volume …

Plasma Ion Bombardment Induced Heat Flux on the Wafer Surface in Inductively Coupled Plasma Reactive Ion Etch

SI Cho, HK Park, S An, SJ Hong - Applied Sciences, 2023 - mdpi.com
Plasma plays an important role in semiconductor processes. With the recent miniaturization
and integration, the control of plasma became essential for success in the critical dimension …

Photo-atomic layer etching of GaAs/AlGaAs nanoheterostructures

MR Aziziyan, H Sharma… - ACS applied materials & …, 2019 - ACS Publications
Photo-atomic layer etching (photo-ALE) of GaAs and AlGaAs semiconductors was
investigated in deionized H2O and aqueous solution of NH4OH under weak excitation …