[图书][B] Efficient microarchitecture for network-on-chip routers

DU Becker - 2012 - search.proquest.com
Continuing advances in semiconductor technology, coupled with an increasing concern for
energy efficiency, have led to an industry-wide shift in focus towards modular designs that …

Drain: Deadlock removal for arbitrary irregular networks

M Parasar, H Farrokhbakht, NE Jerger… - … Symposium on High …, 2020 - ieeexplore.ieee.org
Correctness is a first-order concern in the design of computer systems. For multiprocessors,
a primary correctness concern is the deadlock-free operation of the network and its …

LAXY: A location-based aging-resilient Xy-Yx routing algorithm for network on chip

N Rohbani, Z Shirmohammadi, M Zare… - … on Computer-Aided …, 2017 - ieeexplore.ieee.org
Network on chip (NoC) is a scalable interconnection architecture for ever increasing
communication demand between processing cores. However, in nanoscale technology size …

Use it or lose it: Wear-out and lifetime in future chip multiprocessors

H Kim, A Vitkovskiy, PV Gratz, V Soteriou - … of the 46th Annual IEEE/ACM …, 2013 - dl.acm.org
Moore's Law scaling is continuing to yield even higher transistor density with each
succeeding process generation, leading to today's multi-core Chip Multi-Processors (CMPs) …

Dynamic error mitigation in NoCs using intelligent prediction techniques

D DiTomaso, T Boraten, A Kodi… - 2016 49th Annual IEEE …, 2016 - ieeexplore.ieee.org
Network-on-chips (NoCs) are quickly becoming the standard communication fabric for multi-
core systems. As technology continues to scale down into the nanometer regime, device …

ARTEMIS: An aging-aware runtime application mapping framework for 3D NoC-based chip multiprocessors

VY Raparti, N Kapadia… - IEEE Transactions on Multi …, 2017 - ieeexplore.ieee.org
In emerging 3D NoC-based chip multiprocessors (CMPs), aging in circuits due to bias
temperature instability (BTI) stress is expected to cause gate-delay degradation that, if left …

Adaptive routing algorithms for lifetime reliability optimization in network-on-chip

L Wang, X Wang, T Mak - IEEE Transactions on Computers, 2015 - ieeexplore.ieee.org
Technology scaling leads to the reliability issue as a primary concern in Network-on-Chip
(NoC) design. We observe that due to routing algorithm some routers age much faster than …

Pattern matching for spatial point sets

DE Cardoze, LJ Schulman - Proceedings 39th Annual …, 1998 - ieeexplore.ieee.org
Two sets of points in d-dimensional space are given: a data set D consisting of N points, and
a pattern set or probe P consisting of k points. We address the problem of determining …

AROMa: aging-aware deadlock-free adaptive routing algorithm and online monitoring in 3D NoCs

Z Ghaderi, A Alqahtani… - IEEE Transactions on …, 2017 - ieeexplore.ieee.org
The movement toward 3D fabrication coupled with Network-on-Chip (NoC) aims to improve
area, performance, power, and scalability of many-core systems. However, reliability issue …

HREN: A hybrid reliable and energy-efficient network-on-chip architecture

P Bhamidipati, A Karanth - IEEE Transactions on Emerging …, 2022 - ieeexplore.ieee.org
As transistor scales down to sub-nanometer and processing cores with billions of transistors
are integrated, reliable and energy-efficient Network-on-Chip (NoC) architectures are critical …