Patterning of narrow porous SiOCH trenches using a TiN hard mask

M Darnon, T Chevolleau, D Eon, R Bouyssou… - Microelectronic …, 2008 - Elsevier
For the next technological generations of integrated circuits, the traditional challenges faced
by etch plasmas (profile control, selectivity, critical dimensions, uniformity, defects,…) …

Patterning challenges in the fabrication of 12 nm half-pitch dual damascene copper ultra low-k interconnects

JS Chawla, KJ Singh, A Myers… - … Etch Technology for …, 2014 - spiedigitallibrary.org
Earlier [1, 2] work highlighted an integrated process for electrically functional 12 nm half-
pitch copper interconnects in an ultralow-k interlayer dielectric (ILD). In this paper, we focus …

Nanoscale Buckling of Ultrathin Low-k Dielectric Lines during Hard-Mask Patterning

G Stan, CV Ciobanu, I Levin, HJ Yoo, A Myers… - Nano Letters, 2015 - ACS Publications
Commonly known in macroscale mechanics, buckling phenomena are now also
encountered in the nanoscale world as revealed in today's cutting-edge fabrication of …

Demonstration of an electrically functional 34 nm metal pitch interconnect in ultralow-k ILD using spacer-based pitch quartering

M Van Veenhuizen, G Allen, M Harmes… - 2012 IEEE …, 2012 - ieeexplore.ieee.org
The patterning of a 34 nm metal pitch interconnect was realized using a spacer-based pitch
quartering scheme. The pattern is transferred into an ultralow-k ILD using a process that …

Patterning with amorphous carbon thin films

GA Antonelli, S Reddy, P Subramonium… - ECS …, 2011 - iopscience.iop.org
Amorphous carbon hard mask films grown with plasma enhanced chemical vapor
deposition are an enabling technology for advanced front-end-of-line patterning …

Prediction of porous dielectric line wiggling phenomenon with metallic hard mask: From simulation to experiment

J Ducoté, N Possémé, T David, M Darnon… - Applied Physics …, 2014 - pubs.aip.org
The patterning of narrow trenches in porous SiOCH with a metallic hard mask can lead to
the undulation of the dielectric lines between the trenches, also called wiggling. This …

Controlled fracture and mode-mixity dependence of nanoscale interconnects

H Li, M Kuhn - IEEE Transactions on Device and Materials …, 2017 - ieeexplore.ieee.org
Mechanical failures of back-end-of-line (BEoL) interconnects represent a critical yield and
reliability concern for integrating new materials such as ultralow-permittivity (ultralow-k) …

Demonstration of a 12 nm-half-pitch copper ultralow-k interconnect process

JS Chawla, R Chebiam, R Akolkar… - 2013 IEEE …, 2013 - ieeexplore.ieee.org
A process to achieve 12 nm half-pitch interconnect structures in ultralow-k interlayer
dielectric (ILD) is realized using standard 193 nm lithography. An optimized pattern transfer …

Line-edge roughness increase due to wiggling enhanced by initial pattern waviness

N Kofuji, N Negishi, H Ishimura, T Nishida… - Japanese Journal of …, 2014 - iopscience.iop.org
To clarify whether pattern waviness due to line-edge-roughness enhances wiggling,
distortion of straight and wavy patterns was numerically analyzed by the three-dimensional …

[HTML][HTML] Picosecond ultrasonic study of surface acoustic waves on titanium nitride nanostructures

MM Bjornsson, AB Connolly, S Mahat… - Journal of Applied …, 2015 - pubs.aip.org
We have measured surface acoustic waves on nanostructured TiN wires overlaid on
multiple thin films on a silicon substrate using the ultrafast pump-probe technique known as …