Investigation on dependency of thermal characteristics on gate/drain bias voltages in stacked nanosheet transistors

P Zhao, L Cao, F Zhang, H Xu, W Gan, Q Zhang… - Microelectronics …, 2023 - Elsevier
In this paper, the gate/drain voltage-dependent self-heating effect (SHE) in gate-all-around
(GAA) nanosheet field effect transistors (NSFETs) and FinFETs is investigated by 3-D TCAD …

Novel Scheme of Inner Spacer Length Optimization for Sub-3-nm Node Silicon n/p Nanosheet Field-Effect Transistors

S Lee, J Jeong, S Lee, J Lee, J Lim… - … on Electron Devices, 2023 - ieeexplore.ieee.org
The optimal inner spacer length () for each layer in nanosheet (NS) field-effect transistors
(FETs) was investigated using a technology computer-aided design (TCAD) simulation …

Impact of Device-to-Device Thermal Interference Due to Self-Heating on the Performance of Stacked Nanosheet FETs

M Balasubbareddy, K Sivasankaran - IEEE Access, 2024 - ieeexplore.ieee.org
The stacked nanosheet field effect transistor (SNSHFET) exhibits superior electrostatic
performance with its increased effective channel width. However, as the technology node …

Investigation of Electrothermal Characteristics in Silicon Forksheet FETs for Sub-3-nm Node

J Lim, J Jeong, J Lee, S Lee, S Lee… - … on Electron Devices, 2023 - ieeexplore.ieee.org
In this study, the self-heating effect (SHE) of sub-3-nm node forksheet (FS) field-effect
transistors (FETs) and nanosheet (NS) FETs were systematically analyzed using a fully …

Si Interlayers Trimming Strategy in Gate-All-Around Device Architecture for Si and SiGe Dual-Channel CMOS Integration

F Zhao, Y Li, Y Li, X Jia, W Xiong… - … on Electron Devices, 2023 - ieeexplore.ieee.org
A practicable Si interlayers trimming strategy to attain thinned nanosheet (NS) using an in
situ steam generation (ISSG) oxidation and removal process has been proposed for Si and …

Effect of Non-Ideal Cross-Sectional Shape on the Performance of Nanosheet-Based FETs

F Kuang, C Li, H Li, H You, MJ Deen - Electronics, 2023 - mdpi.com
In this article, the effects of non-ideal cross-sectional shapes of stacked nanosheet FET
(NSFET) and nanosheet FET with inter-bridge channel (TreeFET) are studied through …

Accurate Evaluation of Electro-Thermal Performance in Silicon Nanosheet Field-Effect Transistors with Schemes for Controlling Parasitic Bottom Transistors

J Jeong, S Lee, RH Baek - Nanomaterials, 2024 - mdpi.com
The electro-thermal performance of silicon nanosheet field-effect transistors (NSFETs) with
various parasitic bottom transistor (trpbt)-controlling schemes is evaluated. Conventional …

Leakage and Thermal Reliability Optimization of Stacked Nanosheet Field-Effect Transistors with SiC Layers

C Li, Y Shao, F Kuang, F Liu, Y Wang, X Li, Y Zhuang - Micromachines, 2024 - mdpi.com
In this work, we propose a SiC-NSFET structure that uses a PTS scheme only under the
gate, with SiC layers under the source and drain, to improve the leakage current and thermal …

Challenges of Gate Stack TDDB in Gate-All-Around Nanosheet Towards Further Scaling

H Zhou, M Wang, E Wu - 2024 IEEE International Reliability …, 2024 - ieeexplore.ieee.org
In this work, we present a comprehensive study on the gate stack TDDB challenges in Gate-
all-around (GAA) nanosheet (NS) transistors (FETs), including volume-less Multiple Vt (Multi …

Investigation of the influence of hardmask morphology on bowing effect in nano-scale silicon plasma etching process

Z Hu, J Li, H Shao, R Chen… - Advanced Etch Technology …, 2024 - spiedigitallibrary.org
Bowing is one of plasma etching effects that negatively impact device performance.
Although there has been plenty of research work on micro-feature surface etch modeling to …