Networks on chips: structure and design methodologies

WC Tsai, YC Lan, YH Hu… - Journal of Electrical and …, 2012 - Wiley Online Library
The next generation of multiprocessor system on chip (MPSoC) and chip multiprocessors
(CMPs) will contain hundreds or thousands of cores. Such a many‐core system requires …

NoC routing protocols–objective-based classification

AB Gabis, M Koudil - Journal of Systems Architecture, 2016 - Elsevier
Abstract NoCs (Network on Chips) are the most popular interconnection mechanism used
for systems that require flexibility, extensibility and low power consumption. However …

DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip

S Ma, N Enright Jerger, Z Wang - Proceedings of the 38th annual …, 2011 - dl.acm.org
With the emergence of many-core architectures, it is quite likely that multiple applications will
run concurrently on a system. Existing locally and globally adaptive routing algorithms …

Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip

G Ascia, V Catania, M Palesi… - IEEE transactions on …, 2008 - ieeexplore.ieee.org
Efficient and deadlock-free routing is critical to the performance of networks-on-chip. The
effectiveness of any adaptive routing algorithm strongly depends on the underlying selection …

Fully adaptive fault-tolerant routing algorithm for network-on-chip architectures

T Schonwald, J Zimmermann… - … on Digital System …, 2007 - ieeexplore.ieee.org
In this paper, we present a novel fully adaptive and fault-tolerant routing algorithm for
Network-on-Chips (NoCs) called Force-Directed Wormhole Routing (FDWR). The proposed …

GCA: Global congestion awareness for load balance in networks-on-chip

M Ramakrishna, VK Kodati, PV Gratz… - IEEE Transactions on …, 2015 - ieeexplore.ieee.org
As modern CMPs scale to ever increasing core counts, Networks-on-Chip (NoCs) are
emerging as an interconnection fabric, enabling communication between components …

HARAQ: congestion-aware learning model for highly adaptive routing algorithm in on-chip networks

M Ebrahimi, M Daneshtalab… - 2012 IEEE/ACM …, 2012 - ieeexplore.ieee.org
The occurrence of congestion in on-chip networks can severely degrade the performance
due to increased message latency. In mesh topology, minimal methods can propagate …

Runtime detection of a bandwidth denial attack from a rogue network-on-chip

R JS, DM Ancajas, K Chakraborty, S Roy - Proceedings of the 9th …, 2015 - dl.acm.org
In this paper, we propose a covert threat model for MPSoCs designed using 3rd party
Network-on-Chips (NoC). We illustrate that a malicious NoC can disrupt the availability of on …

Whole packet forwarding: Efficient design of fully adaptive routing algorithms for networks-on-chip

S Ma, NE Jerger, Z Wang - IEEE International Symposium on …, 2012 - ieeexplore.ieee.org
Routing algorithms for networks-on-chip (NoCs) typically only have a small number of virtual
channels (VCs) at their disposal. Limited VCs pose several challenges to the design of fully …

EDXY–A low cost congestion-aware routing algorithm for network-on-chips

P Lotfi-Kamran, AM Rahmani, M Daneshtalab… - Journal of Systems …, 2010 - Elsevier
In this paper, an adaptive routing algorithm for two-dimensional mesh network-on-chips
(NoCs) is presented. The algorithm, which is based on Dynamic XY (DyXY), is called …