Electrical-level attacks on CPUs, FPGAs, and GPUs: Survey and implications in the heterogeneous era

DG Mahmoud, V Lenders, M Stojilović - ACM Computing Surveys (CSUR …, 2022 - dl.acm.org
Given the need for efficient high-performance computing, computer architectures combining
central processing units (CPUs), graphics processing units (GPUs), and field-programmable …

TRRespass: Exploiting the many sides of target row refresh

P Frigo, E Vannacc, H Hassan… - … IEEE Symposium on …, 2020 - ieeexplore.ieee.org
After a plethora of high-profile RowHammer attacks, CPU and DRAM vendors scrambled to
deliver what was meant to be the definitive hardware solution against the RowHammer …

Rowhammer: A retrospective

O Mutlu, JS Kim - … Transactions on Computer-Aided Design of …, 2019 - ieeexplore.ieee.org
This retrospective paper describes the RowHammer problem in dynamic random access
memory (DRAM), which was initially introduced by Kim et al. at the ISCA 2014 Conference …

Revisiting rowhammer: An experimental analysis of modern dram devices and mitigation techniques

JS Kim, M Patel, AG Yağlıkçı, H Hassan… - 2020 ACM/IEEE 47th …, 2020 - ieeexplore.ieee.org
RowHammer is a circuit-level DRAM vulnerability, first rigorously analyzed and introduced in
2014, where repeatedly accessing data in a DRAM row can cause bit flips in nearby rows …

Uncovering in-dram rowhammer protection mechanisms: A new methodology, custom rowhammer patterns, and implications

H Hassan, YC Tugrul, JS Kim, V Van der Veen… - MICRO-54: 54th Annual …, 2021 - dl.acm.org
The RowHammer vulnerability in DRAM is a critical threat to system security. To protect
against RowHammer, vendors commit to security-through-obscurity: modern DRAM chips …

Blockhammer: Preventing rowhammer at low cost by blacklisting rapidly-accessed dram rows

AG Yağlikçi, M Patel, JS Kim, R Azizi… - … Symposium on High …, 2021 - ieeexplore.ieee.org
Aggressive memory density scaling causes modern DRAM devices to suffer from
RowHammer, a phenomenon where rapidly activating (ie, hammering) a DRAM row can …

Rega: Scalable rowhammer mitigation with refresh-generating activations

M Marazzi, F Solt, P Jattke, K Takashi… - 2023 IEEE Symposium …, 2023 - ieeexplore.ieee.org
Mitigating Rowhammer requires performing additional refresh operations to recharge DRAM
rows before bits start to flip. These refreshes are scarce and can only happen periodically …

A comprehensive survey of attacks without physical access targeting hardware vulnerabilities in iot/iiot devices, and their detection mechanisms

NF Polychronou, PH Thevenon, M Puys… - ACM Transactions on …, 2021 - dl.acm.org
With the advances in the field of the Internet of Things (IoT) and Industrial IoT (IIoT), these
devices are increasingly used in daily life or industry. To reduce costs related to the time …

Graphene: Strong yet lightweight row hammer protection

Y Park, W Kwon, E Lee, TJ Ham… - 2020 53rd Annual …, 2020 - ieeexplore.ieee.org
Row Hammer is a serious security threat to modern computing systems using DRAM as
main memory. It causes charge loss in DRAM cells adjacent to a frequently activated …

Protrr: Principled yet optimal in-dram target row refresh

M Marazzi, P Jattke, F Solt… - 2022 IEEE Symposium on …, 2022 - ieeexplore.ieee.org
The DRAM substrate is becoming increasingly more vulnerable to Rowhammer as we move
to smaller technology nodes. We introduce ProTRR, the first principled in-DRAM Target Row …