25.2 A 1.2 V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV

DU Lee, KW Kim, KW Kim, H Kim… - … Solid-State Circuits …, 2014 - ieeexplore.ieee.org
Increasing demand for higher-bandwidth DRAM drive TSV technology development. With
the capacity of fine-pitch wide I/O [1], DRAM can be directly integrated on the interposer or …

25.2 A 1.2 V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV

DU Lee, KW Kim, KW Kim, H Kim, JY Kim, YJ Park… - 2014 IEEE International … - infona.pl
Increasing demand for higher-bandwidth DRAM drive TSV technology development. With
the capacity of fine-pitch wide I/O [1], DRAM can be directly integrated on the interposer or …

[引用][C] 25.2 A 1.2 V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV

DU Lee, KW Kim, KW Kim, H Kim, JY Kim… - 2014 IEEE International …, 2014 - cir.nii.ac.jp
25.2 A 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with
effective microbump I/O test methods using 29nm process and TSV | CiNii Research CiNii 国立 …