Analyzing and modeling in-storage computing workloads on EISC—An FPGA-Based system-level emulation platform

Z Ruan, T He, J Cong - 2019 IEEE/ACM International …, 2019 - ieeexplore.ieee.org
Storage drive technology has made continuous improvements over the last decade, shifting
the bottleneck of the data processing system from the storage drive to host/drive …

[引用][C] Analyzing and Modeling In-Storage Computing Workloads On EISC—An FPGA-Based System-Level Emulation Platform

Z Ruan, THJ Cong