Efficient FPGAs using nanoelectromechanical relays

C Chen, R Parsa, N Patil, S Chong… - Proceedings of the 18th …, 2010 - dl.acm.org
Nanoelectromechanical (NEM) relays are promising candidates for programmable routing in
Field-Programmable-Gate Arrays (FPGAs). This is due to their zero leakage and potentially …

Nano-electro-mechanical relays for FPGA routing: Experimental demonstration and a design technique

C Chen, WS Lee, R Parsa, S Chong… - … , Automation & Test …, 2012 - ieeexplore.ieee.org
Nano-Electro-Mechanical (NEM) relays are excellent candidates for programmable routing
in Field Programmable Gate Arrays (FPGAs). FPGAs that combine CMOS circuits with NEM …

Performance analysis of nanoelectromechanical relay-based field-programmable gate arrays

T Qin, SJ Bleiker, S Rana, F Niklaus… - IEEE Access, 2018 - ieeexplore.ieee.org
The energy consumption of field-programmable gate arrays (FPGA) is dominated by
leakage currents and dynamic energy associated with programmable interconnect. An …

Nonvolatile nanoelectromechanical memory switches for low-power and high-speed field-programmable gate arrays

YJ Kim, WY Choi - IEEE Transactions on Electron Devices, 2014 - ieeexplore.ieee.org
The application of nanoelectromechanical (NEM) memory switches to field-programmable
gate arrays (FPGAs) has been proposed for the first time. NEM memory switches replace …

Exploring technology alternatives for nano-scale FPGA interconnects

A Gayasen, N Vijaykrishnan, MJ Irwin - Proceedings of the 42nd annual …, 2005 - dl.acm.org
Field Programmable Gate Arrays (FPGAs) are becoming increasingly popular. With their
regular structures, they are particularly amenable to scaling to smaller technologies. On the …

NEM relay-based sequential logic circuits for low-power design

R Venkatasubramanian, SK Manohar… - IEEE transactions on …, 2013 - ieeexplore.ieee.org
Nanoelectromechanical (NEM) relays are a promising class of emerging devices that offer
zero off-state leakage and behaves like an ideal switch. The zero leakage operation has …

Nanoelectromechanical (NEM) relays integrated with CMOS SRAM for improved stability and low leakage

S Chong, K Akarvardar, R Parsa, JB Yoon… - Proceedings of the …, 2009 - dl.acm.org
We present a hybrid nanoelectromechanical (NEM)/CMOS static random access memory
(SRAM) cell, in which the two pull-down transistors of a conventional CMOS six transistor …

Low-power programmable routing circuitry for FPGAs

JH Anderson, FN Najm - IEEE/ACM International Conference …, 2004 - ieeexplore.ieee.org
We propose two new FPGA routing switch designs that are programmable to operate in
three different modes: high-speed, low-power or sleep. High-speed mode provides similar …

A performance and routablity driven router for FPGAs considering path delays

YS Lee, ACH Wu - Proceedings of the 32nd annual ACM/IEEE Design …, 1995 - dl.acm.org
This paper presents a new performance and routability driven router for symmetrical array
based Field Programmable Gate Arrays (FPGAs). The objectives of our proposed routing …

A high-performance low-power near-Vt RRAM-based FPGA

X Tang, PE Gaillardon… - … Conference on Field …, 2014 - ieeexplore.ieee.org
The routing architecture, heavily using programmable switches, dominates the area, delay
and power of Field Programmable Gate Arrays (FPGAs). Resistive Random Access …