Congestion-controlled best-effort communication for networks-on-chip

JW van den Brand, C Ciordas… - … , Automation & Test …, 2007 - ieeexplore.ieee.org
Congestion has negative effects on network performance. In this paper, a novel congestion
control strategy is presented for networks-on-chip (NoC). For this purpose we introduce a …

Agent-based on-chip network using efficient selection method

M Ebrahimi, M Daneshtalab, P Liljeberg… - 2011 IEEE/IFIP 19th …, 2011 - ieeexplore.ieee.org
Congestion in on-chip networks may cause many drawbacks in multiprocessor systems
including throughput reduction, increase in latency, and additional power consumption …

HAT: Heterogeneous adaptive throttling for on-chip networks

KKW Chang, R Ausavarungnirun… - 2012 IEEE 24th …, 2012 - ieeexplore.ieee.org
The network-on-chip (NoC) is a primary shared resource in a chip multiprocessor (CMP)
system. As core counts continue to increase and applications become increasingly data …

Congestion-aware network-on-chip router architecture

C Wang, WH Hu, N Bagherzadeh - 2010 15th CSI International …, 2010 - ieeexplore.ieee.org
This paper proposes a novel congestion-aware Network-on-Chip (NoC) architecture that not
only enhances network transmission performance while maintaining a feasible …

Prediction-based flow control for network-on-chip traffic

UY Ogras, R Marculescu - Proceedings of the 43rd annual design …, 2006 - dl.acm.org
Networks-on-Chip (NoC) architectures provide a scalable solution to on-chip communication
problem but the bandwidth offered by NoCs can be utilized efficiently only in presence of …

An analytical method for evaluating network-on-chip performance

S Foroutan, Y Thonnart, R Hersemeule… - … Design, Automation & …, 2010 - ieeexplore.ieee.org
Today, due to the increasing demand for more and more complex applications in the
consumer electronic market segment, Systems-on-Chip consist of many processing …

Regional congestion awareness for load balance in networks-on-chip

P Gratz, B Grot, SW Keckler - 2008 IEEE 14th International …, 2008 - ieeexplore.ieee.org
Interconnection networks-on-chip (NOCs) are rapidly replacing other forms of interconnect in
chip multiprocessors and system-on-chip designs. Existing interconnection networks use …

A comprehensive and accurate latency model for network-on-chip performance analysis

Z Qian, DC Juan, P Bogdan, CY Tsui… - 2014 19th Asia and …, 2014 - ieeexplore.ieee.org
In this work, we propose a new, accurate, and comprehensive analytical model for Network-
on-Chip (NoC) performance analysis. Given the application communication graph, the NoC …

Buffer space optimisation with communication synthesis and traffic shaping for NoCs

S Manolache, P Eles, Z Peng - … of the Design Automation & Test …, 2006 - ieeexplore.ieee.org
This paper addresses communication optimisation for applications implemented on
networks-on-chip. The mapping of data packets to network links and the timing of the …

Flow regulation for on-chip communication

Z Lu, M Millberg, A Jantsch, A Bruce… - … , Automation & Test …, 2009 - ieeexplore.ieee.org
We propose (σ, ρ)-based flow regulation as a design instrument for System-on-Chip (SoC)
architects to control quality-of-service and achieve cost-effective communication, where σ …