Experimental study of multilayer SiCN barrier film in 45/40 nm technological node and beyond

Z Ming, X De Yuan, PS Min, HZ Shan, SY Xie - Microelectronics Reliability, 2016 - Elsevier
With feature size of device scaled down 45 nm technological node and beyond, the backend
of the line (BEOL) faces too many problems such as resistance–capacitance (RC) delay …

Investigation of the electrical properties and reliability of amorphous SiCN

CW Chen, TC Chang, PT Liu, TM Tsai, HC Huang… - Thin Solid Films, 2004 - Elsevier
Amorphous SiCN (a-SiCN) is a candidate for barrier dielectric and has lower dielectric
constant (k∼ 5) relative to the commonly used barrier SiN (k∼ 7). In this study, we …

Highly reliable enhanced nitride interface process of barrier low-k using ultra-thin SiN with moisture blocking capability

T Usami, Y Miura, T Nakamura, H Tsuchiya… - Microelectronic …, 2013 - Elsevier
We developed a highly reliable enhanced nitride Interface (ENI) process of barrier low-k
using an ultra-thin SiN (UT-SiN) for 40-nm node devices and beyond. The UT-SiN (3 nm) …

Electrical Characteristics and Reliability of SiCN/Porous SiOCH Stacked Dielectric: Effects of Deposition Temperature of SiCN Film

YL Cheng, YL Lin, WF Peng, CY Lee… - ECS Journal of Solid …, 2021 - iopscience.iop.org
Silicon carbonitride (SiCN) films deposited using silazane singe-precursor with different
temperatures were capped onto porous carbon-doped silicon oxide (p-SiOCH) dielectric …

Porous low k film with multilayer structure used for promoting adhesion to SiCN cap barrier layer

M Zhou, BC Zhang - Microelectronics Reliability, 2015 - Elsevier
For 28 nm technological node, porous ultra low dielectric constant (p-ULK) film has been
used as an insulator in Cu interconnection in the back-end of the line (BEOL). The interfacial …

AlN capping layer inserted between Cu and SiCN dielectric barrier layer for enhancing reliability of 28 nm technological node and beyond

M Zhou - Microelectronics Reliability, 2015 - Elsevier
The improvement of the interface adhesion between Cu and silicon carbonitride (SiCN)
dielectric barrier layer is required in the back end of the line for 28 nm technological node …

Studies on Oxygen Permeation Resistance of SiCN Thin Film and RRAM Applications

MH Song, WS Ko, GH Kim, DH Choi, GW Lee - Nanomaterials, 2022 - mdpi.com
In this study, a silicon carbon nitride (SiCN) thin film was grown with a thickness of 5~ 70 nm
by the plasma-enhanced chemical vapor deposition (PECVD) method, and the oxygen …

Ultrathin conformal multilayer SiNO dielectric cap for capacitance reduction in Cu/low k interconnects

D Priyadarshini, S Nguyen, H Shobha… - 2016 IEEE …, 2016 - ieeexplore.ieee.org
Multi-layer SiNO barrier film with high breakdown and low leakage is developed for Cu low k
interconnects and is compared with the SiCN and SiN barrier films used at previous …

Comparison of SiCxNy barriers using different deposition precursors capped on porous low-dielectric-constant SiOCH dielectric film

YL Cheng, YL Lin - Thin Solid Films, 2020 - Elsevier
In this study, two types of silicon carbonitride (SiC x N y) layers using different deposition
precursors (single-source and multi-source precursors) were capped onto the porous low …

Effects of oxygen plasma ashing on barrier dielectric SiCN film

CW Chen, TC Chang, PT Liu, TM Tsai… - … and solid-state letters, 2004 - iopscience.iop.org
Abstract Effects of oxygen plasma ashing on barrier dielectric SiCN films have been studied
for various ashing conditions. According to X-ray photoelectron spectra analyses, bonds …