Crow: A low-cost substrate for improving dram performance, energy efficiency, and reliability

H Hassan, M Patel, JS Kim, AG Yaglikci… - Proceedings of the 46th …, 2019 - dl.acm.org
DRAM has been the dominant technology for architecting main memory for decades. Recent
trends in multi-core system design and large-dataset applications have amplified the role of …

DRAM refresh mechanisms, penalties, and trade-offs

I Bhati, MT Chang, Z Chishti, SL Lu… - IEEE Transactions on …, 2015 - ieeexplore.ieee.org
Ever-growing application data footprints demand faster main memory with larger capacity.
DRAM has been the technology choice for main memory due to its low latency and high …

RAIDR: Retention-aware intelligent DRAM refresh

J Liu, B Jaiyen, R Veras, O Mutlu - ACM SIGARCH Computer …, 2012 - dl.acm.org
Dynamic random-access memory (DRAM) is the building block of modern main memory
systems. DRAM cells must be periodically refreshed to prevent loss of data. These refresh …

Rethinking DRAM design and organization for energy-constrained multi-cores

AN Udipi, N Muralimanohar, N Chatterjee… - Proceedings of the 37th …, 2010 - dl.acm.org
DRAM vendors have traditionally optimized the cost-per-bit metric, often making design
decisions that incur energy penalties. A prime example is the overfetch feature in DRAM …

Power and performance trade-offs in contemporary DRAM system designs for multicore processors

H Zheng, Z Zhu - IEEE Transactions on Computers, 2010 - ieeexplore.ieee.org
DRAM memory is playing an increasingly important role in the overall power profile of latest-
generation servers with multicore processors. With many power saving techniques adopted …

Mini-rank: Adaptive DRAM architecture for improving memory power efficiency

H Zheng, J Lin, Z Zhang, E Gorbatov… - 2008 41st IEEE/ACM …, 2008 - ieeexplore.ieee.org
The widespread use of multicore processors has dramatically increased the demand on
high memory bandwidth and large memory capacity. As DRAM subsystem designs stretch to …

Multiple clone row DRAM: A low latency and area optimized DRAM

J Choi, W Shin, J Jang, J Suh, Y Kwon… - ACM SIGARCH …, 2015 - dl.acm.org
Several previous works have changed DRAM bank structure to reduce memory access
latency and have shown performance improvement. However, changes in the area …

A comprehensive approach to DRAM power management

I Hur, C Lin - 2008 IEEE 14th International Symposium on High …, 2008 - ieeexplore.ieee.org
This paper describes a comprehensive approach for using the memory controller to improve
DRAM energy efficiency and manage DRAM power. We make three contributions:(1) we …

ChargeCache: Reducing DRAM latency by exploiting row access locality

H Hassan, G Pekhimenko, N Vijaykumar… - … Symposium on High …, 2016 - ieeexplore.ieee.org
DRAM latency continues to be a critical bottleneck for system performance. In this work, we
develop a low-cost mechanism, called Charge Cache, that enables faster access to recently …

Restore truncation for performance improvement in future DRAM systems

X Zhang, Y Zhang, BR Childers… - 2016 IEEE International …, 2016 - ieeexplore.ieee.org
Scaling DRAM below 20nm has become a major challenge due to intrinsic limitations in the
structure of a bit cell. Future DRAM chips are likely to suffer from significant variations and …