New structure transistors for advanced technology node CMOS ICs

Q Zhang, Y Zhang, Y Luo, H Yin - National Science Review, 2024 - academic.oup.com
Over recent decades, advancements in complementary metal-oxide-semiconductor
integrated circuits (ICs) have mainly relied on structural innovations in transistors. From …

CMOS Scaling for the 5 nm Node and Beyond: Device, Process and Technology

HH Radamson, Y Miao, Z Zhou, Z Wu, Z Kong, J Gao… - Nanomaterials, 2024 - mdpi.com
After more than five decades, Moore's Law for transistors is approaching the end of the
international technology roadmap of semiconductors (ITRS). The fate of complementary …

A Review of Reliability in Gate-All-Around Nanosheet Devices

M Wang - Micromachines, 2024 - mdpi.com
The gate-all-around (GAA) nanosheet (NS) field-effect-transistor (FET) is poised to replace
FinFET in the 3 nm CMOS technology node and beyond, marking the second seminal shift in …

Accurate Evaluation of Electro-Thermal Performance in Silicon Nanosheet Field-Effect Transistors with Schemes for Controlling Parasitic Bottom Transistors

J Jeong, S Lee, RH Baek - Nanomaterials, 2024 - mdpi.com
The electro-thermal performance of silicon nanosheet field-effect transistors (NSFETs) with
various parasitic bottom transistor (trpbt)-controlling schemes is evaluated. Conventional …

Leakage and Thermal Reliability Optimization of Stacked Nanosheet Field-Effect Transistors with SiC Layers

C Li, Y Shao, F Kuang, F Liu, Y Wang, X Li, Y Zhuang - Micromachines, 2024 - mdpi.com
In this work, we propose a SiC-NSFET structure that uses a PTS scheme only under the
gate, with SiC layers under the source and drain, to improve the leakage current and thermal …

Electrothermal Modeling of Multi-Nanosheet FETs With Various Layouts

W Kwon, C Yoo, J Jeon - IEEE Transactions on Electron …, 2024 - ieeexplore.ieee.org
In this study, we propose a highly accurate and rapidly analyzable electrothermal modeling
for the observed self-heating effect (SHE) characteristics in multinanosheet FETs (mNS …

[HTML][HTML] Radiation effects on multi-channel Forksheet-FET and Nanosheet-FET considering the bottom dielectric isolation scheme

G Choi, J Jeon - Nuclear Engineering and Technology, 2024 - Elsevier
This study analyzes the single-event transient (SET) characteristics of alpha particles on
multi-channel Forksheet-FET and Nanosheet-FET at the device and circuit levels through 3D …

Inflection Points in GAA NS-FET to C-FET Scaling Considering Impact of DTCO Boosters

D Yakimets, KK Bhuwalka, H Wu… - … on Electron Devices, 2024 - ieeexplore.ieee.org
Complimentary FETs (C-FETs) enable aggressive standard cell height reduction, facilitating
on-target area scaling without shrinking contacted gate pitch (CGP). We extensively …

Source/drain extension asymmetric counter-doping for suppressing channel leakage in stacked nanosheet transistors

Q Li, L Cao, Q Zhang, L Li, X Zhang, C Niu… - Microelectronics …, 2024 - Elsevier
In the relentless pursuit of semiconductor device scaling, stacked silicon nanosheet gate-all-
around field-effect transistors (NSFETs) are emerging as key candidates for sub-3nm …

Novel partial punch-through-stopper scheme for substrate leakage optimization of nanosheet field-effect transistors

H Luo, Y Li, F Zhao, JY Zhang, Y Li - Microelectronics Journal, 2024 - Elsevier
A novel and practicable partial punch-through-stopper (p-PTS) scheme beneath the gate
area is proposed for the substrate leakage current suppression in the gate-all-around (GAA) …