Mind: In-network memory management for disaggregated data centers

S Lee, Y Yu, Y Tang, A Khandelwal, L Zhong… - Proceedings of the …, 2021 - dl.acm.org
Memory disaggregation promises transparent elasticity, high resource utilization and
hardware heterogeneity in data centers by physically separating memory and compute into …

Mosaic: a GPU memory manager with application-transparent support for multiple page sizes

R Ausavarungnirun, J Landgraf, V Miller… - Proceedings of the 50th …, 2017 - dl.acm.org
Contemporary discrete GPUs support rich memory management features such as virtual
memory and demand paging. These features simplify GPU programming by providing a …

Efficient address translation for architectures with multiple page sizes

G Cox, A Bhattacharjee - ACM SIGPLAN Notices, 2017 - dl.acm.org
Processors and operating systems (OSes) support multiple memory page sizes. Superpages
increase Translation Lookaside Buffer (TLB) hits, while small pages provide fine-grained …

A survey of techniques for architecting TLBs

S Mittal - Concurrency and computation: practice and …, 2017 - Wiley Online Library
Translation lookaside buffer (TLB) caches virtual to physical address translation information
and is used in systems ranging from embedded devices to high‐end servers. Because TLB …

Supporting address translation for accelerator-centric architectures

Y Hao, Z Fang, G Reinman… - 2017 IEEE International …, 2017 - ieeexplore.ieee.org
While emerging accelerator-centric architectures offer orders-of-magnitude performance and
energy improvements, use cases and adoption can be limited by their rigid programming …

Contiguitas: The pursuit of physical memory contiguity in datacenters

K Zhao, K Xue, Z Wang, D Schatzberg, L Yang… - Proceedings of the 50th …, 2023 - dl.acm.org
The unabating growth of the memory needs of emerging datacenter applications has
exacerbated the scalability bottleneck of virtual memory. However, reducing the excessive …

Hybrid tlb coalescing: Improving tlb translation coverage under diverse fragmented memory allocations

CH Park, T Heo, J Jeong, J Huh - Proceedings of the 44th Annual …, 2017 - dl.acm.org
To mitigate excessive TLB misses in large memory applications, techniques such as large
pages, variable length segments, and HW coalescing, increase the coverage of limited …

Rethinking TLB designs in virtualized environments: A very large part-of-memory TLB

JH Ryoo, N Gulur, S Song, LK John - ACM SIGARCH Computer …, 2017 - dl.acm.org
With increasing deployment of virtual machines for cloud services and server applications,
memory address translation overheads in virtualized environments have received great …

Translation ranger: Operating system support for contiguity-aware tlbs

Z Yan, D Lustig, D Nellans… - Proceedings of the 46th …, 2019 - dl.acm.org
Virtual memory (VM) eases programming effort but can suffer from high address translation
overheads. Architects have traditionally coped by increasing Translation Lookaside Buffer …

Devirtualizing memory in heterogeneous systems

S Haria, MD Hill, MM Swift - Proceedings of the Twenty-Third …, 2018 - dl.acm.org
Accelerators are increasingly recognized as one of the major drivers of future computational
growth. For accelerators, shared virtual memory (VM) promises to simplify programming and …