The future of FPGA acceleration in datacenters and the cloud

C Bobda, JM Mbongue, P Chow, M Ewais… - ACM Transactions on …, 2022 - dl.acm.org
In this article, we survey existing academic and commercial efforts to provide Field-
Programmable Gate Array (FPGA) acceleration in datacenters and the cloud. The goal is a …

Electrical-level attacks on CPUs, FPGAs, and GPUs: Survey and implications in the heterogeneous era

DG Mahmoud, V Lenders, M Stojilović - ACM Computing Surveys (CSUR …, 2022 - dl.acm.org
Given the need for efficient high-performance computing, computer architectures combining
central processing units (CPUs), graphics processing units (GPUs), and field-programmable …

FPGADefender Malicious Self-oscillator Scanning for Xilinx UltraScale + FPGAs

TM La, K Matas, N Grunchevski, KD Pham… - ACM Transactions on …, 2020 - dl.acm.org
Sharing configuration bitstreams rather than netlists is a very desirable feature to protect IP
or to share IP without longer CAD tool processing times. Furthermore, an increasing number …

Neighbors from hell: Voltage attacks against deep learning accelerators on multi-tenant FPGAs

A Boutros, M Hall, N Papernot… - … Conference on Field …, 2020 - ieeexplore.ieee.org
Field-programmable gate arrays (FPGAs) are becoming widely used accelerators for a
myriad of datacenter applications due to their flexibility and energy efficiency. Among these …

Voltage sensor implementations for remote power attacks on FPGAs

S Moini, A Deric, X Li, G Provelengios… - ACM Transactions on …, 2022 - dl.acm.org
This article presents a study of two types of on-chip FPGA voltage sensors based on ring
oscillators (ROs) and time-to-digital converter (TDCs), respectively. It has previously been …

Power wasting circuits for cloud FPGA attacks

G Provelengios, D Holcomb… - 2020 30th International …, 2020 - ieeexplore.ieee.org
Recent research has exposed a number of security issues related to the use of FPGAs in
cloud computing environments. Circuits that deliberately waste power can be carefully …

Reading between the dies: Cross-SLR covert channels on multi-tenant cloud FPGAs

I Giechaskiel, K Rasmussen… - 2019 IEEE 37th …, 2019 - ieeexplore.ieee.org
Field-Programmable Gate Arrays (FPGAs) are becoming increasingly available via
commercial cloud providers, which currently allocate devices on a per-user basis. As the …

C3APSULe: Cross-FPGA Covert-Channel Attacks through Power Supply Unit Leakage

I Giechaskiel, KB Rasmussen… - 2020 IEEE Symposium …, 2020 - ieeexplore.ieee.org
Field-Programmable Gate Arrays (FPGAs) are versatile, reconfigurable integrated circuits
that can be used as hardware accelerators to process highly-sensitive data. Leaking this …

SoK: Secure FPGA multi-tenancy in the cloud: Challenges and opportunities

G Dessouky, AR Sadeghi… - 2021 IEEE European …, 2021 - ieeexplore.ieee.org
Field Programmable Gate Arrays (FPGAs) are increasingly deployed in datacenters due to
their inherent flexibility over ASICs or GPUs that makes them an ideal processing unit for …

Security of cloud FPGAs: A survey

C Jin, V Gohil, R Karri, J Rajendran - arXiv preprint arXiv:2005.04867, 2020 - arxiv.org
Integrating Field Programmable Gate Arrays (FPGAs) with cloud computing instances is a
rapidly emerging trend on commercial cloud computing platforms such as Amazon Web …