Soft errors in DNN accelerators: A comprehensive review

Y Ibrahim, H Wang, J Liu, J Wei, L Chen, P Rech… - Microelectronics …, 2020 - Elsevier
Deep learning tasks cover a broad range of domains and an even more extensive range of
applications, from entertainment to extremely safety-critical fields. Thus, Deep Neural …

A review of approximate computing techniques towards fault mitigation in HW/SW systems

A Aponte-Moreno, A Moncada… - 2018 IEEE 19th Latin …, 2018 - ieeexplore.ieee.org
Technological scaling has increased the susceptibility of logic circuits to radiation-induced
transient faults, making digital devices less reliable. Although different techniques have …

Lightweight checkpoint technique for resilience against soft errors

M Didehban, SRD Lokam, A Shrivastava - US Patent 10,997,027, 2021 - Google Patents
Abstract Systems and methods for implementing a lightweight checkpoint technique for
resilience against soft errors are disclosed. The technique provides effective, safe, and …

[HTML][HTML] Novel lockstep-based fault mitigation approach for SoCs with roll-back and roll-forward recovery

S Kasap, EW Wächter, X Zhai, S Ehsan… - Microelectronics …, 2021 - Elsevier
Abstract All-Programmable System-on-Chips (APSoCs) constitute a compelling option for
employing applications in radiation environments thanks to their high-performance …

Identify silent data corruption vulnerable instructions using SVM

N Yang, Y Wang - IEEE Access, 2019 - ieeexplore.ieee.org
Silent data corruption (SDC) is the most insidious and harmful result type of soft error.
Identify program vulnerable instructions (PVIns) that are likely to cause SDCs is extremely …

S-SETA: Selective software-only error-detection technique using assertions

E Chielle, GS Rodrigues… - IEEE transactions on …, 2015 - ieeexplore.ieee.org
Software-based techniques offer several advantages to increase the reliability of processor-
based systems at very low cost, but they cause performance degradation and an increase of …

NEMESIS: A software approach for computing in presence of soft errors

M Didehban, A Shrivastava… - 2017 IEEE/ACM …, 2017 - ieeexplore.ieee.org
Soft errors are considered as the main reliability challenge for sub-nanoscale
microprocessors. Software-level soft error resilience schemes are desirable because they …

Identifying SDC-causing Instructions based on Random forests algorithm

LP Liu, LL Ci, W Liu, H Yang - KSII Transactions on Internet and …, 2019 - koreascience.kr
Abstract Silent Data Corruptions (SDCs) is a serious reliability issue in many domains of
computer system. The identification and protection of the program instructions that cause …

Nonintrusive automatic compiler-guided reliability improvement of embedded applications under proton irradiation

A Serrano-Cases, Y Morilla… - … on Nuclear Science, 2019 - ieeexplore.ieee.org
A method is presented for automated improvement of embedded application reliability. The
compilation process is guided using genetic algorithms and a multiobjective optimization …

Efficient fault-injection-based assessment of software-implemented hardware fault tolerance

HB Schirmeier - 2016 - 129.217.131.68
With continuously shrinking semiconductor structure sizes and lower supply voltages, the
per-device susceptibility to transient and permanent hardware faults is on the rise. A class of …