Progress and challenges in VLSI placement research

IL Markov, J Hu, MC Kim - … of the International Conference on Computer …, 2012 - dl.acm.org
Given the significance of placement in IC physical design, extensive research studies
performed over the last 50 years addressed numerous aspects of global and detailed …

[图书][B] Timing

S Sapatnekar - 2004 - books.google.com
Statistical timing analysis is an area of growing importance in nanometer te-nologies ‚as the
uncertainties associated with process and environmental var-tions increase ‚and this …

Frequency driven layout and method for field programmable gate arrays

DW Bennett, EF Dellinger, WA Manaker Jr… - US Patent …, 1997 - Google Patents
the present invention relates, in general, to a device-independent, frequency-driven layout
system and method for field programmable gate arrays (" FPGA"). More particularly, the …

[PDF][PDF] Iterative and adaptive slack allocation for performance-driven layout and FPGA routing

J Frankle - DAC, 1992 - picture.iczhiku.com
We give a generalization, called the limit-bumping algorithm (LBA). of a procedure of
Youssef et. al.[I] that iran&onns initial connection delays into upper lim-its on delay suitable …

Timing-driven placement

DZ Pan, B Halpin, H Ren - Handbook of Algorithms for Physical …, 2008 - taylorfrancis.com
This chapter reviews two basic sets of netweighting algorithms: static netweighting and
dynamic netweighting. It explores two global placement approaches: partitioning and force …

Large-scale circuit placement

J Cong, JR Shinnerl, M Xie, T Kong… - ACM Transactions on …, 2005 - dl.acm.org
Placement is one of the most important steps in the RTL-to-GDSII synthesis process, as it
directly defines the interconnects, which have become the bottleneck in circuit and system …

[图书][B] Modern placement techniques

M Sarrafzadeh, M Wang, X Yang - 2003 - books.google.com
Modern Placement Techniques explains physical design and VLSI/CAD placement to the
professional engineer and engineering student. Along with explaining the problems that are …

Timing driven force directed placement with physical net constraints

K Rajagopal, T Shaked, Y Parasuram, T Cao… - Proceedings of the …, 2003 - dl.acm.org
This paper presents a new timing driven force directed placement algorithm that meets
physical net length constraints as well as constraints on specific pin sets. It is the first force …

A performance and routablity driven router for FPGAs considering path delays

YS Lee, ACH Wu - Proceedings of the 32nd annual ACM/IEEE Design …, 1995 - dl.acm.org
This paper presents a new performance and routability driven router for symmetrical array
based Field Programmable Gate Arrays (FPGAs). The objectives of our proposed routing …

Method of target generation for multilevel hierarchical circuit designs

J Apte, R Gupta - US Patent 5,475,607, 1995 - Google Patents
Generating delay targets for creating a multilevel hierarchical circuit design by providing a
hierarchical design description and delay constraints of the circuit design; generating a net …