TSV redundancy: Architecture and design issues in 3-D IC

AC Hsieh, TT Hwang - IEEE Transactions on Very Large Scale …, 2011 - ieeexplore.ieee.org
3-D technology provides many benefits including high density, high bandwidth, low-power,
and small form-factor. Through Silicon Via (TSV), which provides communication links for …

Security and vulnerability implications of 3D ICs

Y Xie, C Bao, C Serafy, T Lu… - … on Multi-Scale …, 2016 - ieeexplore.ieee.org
Physical limit of transistor miniaturization has driven chip design into the third dimension. 3D
integration technology emerges as a viable option to improve chip performance and …

PCB decoupling optimization with variable number of capacitors

P Kadlec, M Marek, M Štumpf… - IEEE Transactions on …, 2018 - ieeexplore.ieee.org
The decoupling of modern printed circuit boards introduces a very complex task. Powerful
stochastic optimizers are usually used to determine values and positions of decoupling …

Power distribution in TSV-based 3-D processor-memory stacks

SM Satheesh, E Salman - … on Emerging and Selected Topics in …, 2012 - ieeexplore.ieee.org
Three primary techniques for manufacturing through silicon vias (TSVs), via-first, via-middle,
and via-last, have been analyzed and compared to distribute power in a 3-D processor …

Distributed TSV topology for 3-D power-supply networks

MB Healy, SK Lim - IEEE Transactions on Very Large Scale …, 2011 - ieeexplore.ieee.org
3-D integration has the potential to increase performance and decrease energy
consumption. However, there are many unsolved issues in the design of these systems. In …

Open defect detection of through silicon vias for structural power integrity test of 3D-ICs

K Hachiya, A Kurokawa - 2019 IEEE 23rd workshop on signal …, 2019 - ieeexplore.ieee.org
Increasing test coverage of power integrity in manufacturing test of 3D-ICs is necessary to
achieve zero DPPM (Defect Parts Per Million) in the market. Although only functional tests …

[PDF][PDF] Musik, Tanz und Dichtung bei den Kreolen Amerikas

A Friedenthal - 1913 - urresearch.rochester.edu
Ioannis Savidis was born in Rochester, New York in December 1982. He received the BSE
degree in electrical and computer engineering and biomedical engineering from Duke …

Decoupling capacitor topologies for TSV-based 3-D ICs with power gating

H Wang, E Salman - IEEE Transactions on Very Large Scale …, 2015 - ieeexplore.ieee.org
In traditional decoupling capacitor topologies, power gating can significantly degrade the
system-wide power integrity of a 3-D integrated circuit since the decoupling capacitance …

Benchmarking for research in power delivery networks of three-dimensional integrated circuits

PW Luo, C Zhang, YT Chang, LC Cheng… - Proceedings of the …, 2013 - dl.acm.org
Power integrity is generally considered to be one of the major bottlenecks hindering the
prevalence of three-dimensional integrated circuits (3D ICs). The higher integration density …

Optimization of full-chip power distribution networks in 3D ICs

Y Satomi, K Hachiya, T Kanamoto… - 2018 IEEE 3rd …, 2018 - ieeexplore.ieee.org
In this paper, we propose a method to minimize resources of power distribution networks
(PDNs) in a three-dimensional integrated circuit (3D IC) under the given design constraints …