MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes

Y Lin, B Yu, X Xu, JR Gao… - … on Computer-Aided …, 2017 - ieeexplore.ieee.org
As very large-scale integration technology shrinks to fewer tracks per standard cell, eg, from
10 to 7.5-track libraries (and lesser for 7 nm), there has been a rapid increase in the usage …

Clock-tree aware multibit flip-flop generation during placement for power optimization

MPH Lin, CC Hsu, YC Chen - IEEE Transactions on Computer …, 2014 - ieeexplore.ieee.org
Utilizing multibit flip-flops (MBFFs) is one of the most effective power optimization techniques
in modern nanometer integrated circuit design. Most of the previous works apply MBFFs …

Density-aware detailed placement with instant legalization

S Popovych, HH Lai, CM Wang, YL Li, WH Liu… - Proceedings of the 51st …, 2014 - dl.acm.org
Placement consists of three stages: global placement, legalization, and detailed placement
(DP). Recently, most research works have concentrated on improving global placement and …

Improved flop tray-based design implementation for power reduction

AB Kahng, J Li, L Wang - 2016 IEEE/ACM International …, 2016 - ieeexplore.ieee.org
Clock network power reduction is critical in modern SoC designs. Application of flop trays
(ie, multi-bit flip-flops) can significantly reduce the number of sinks in a clock network, and …

In-placement clock-tree aware multi-bit flip-flop generation for power optimization

CC Hsu, YC Chen, MPH Lin - 2013 IEEE/ACM International …, 2013 - ieeexplore.ieee.org
Utilizing multi-bit flip-flops (MBFFs) is one of the most effective power optimization
techniques in modern nanometer integrated circuit (IC) design. Most of the previous work …

Timing-driven and placement-aware multibit register composition

I Seitanidis, G Dimitrakopoulos… - … on Computer-Aided …, 2018 - ieeexplore.ieee.org
Multibit register (MBR) composition is an effective and proven method for clock tree power
reduction. The proposed MBR composition follows a balanced restructuring approach that is …

Generation of Mixed-Driving Multi-Bit Flip-Flops for Power Optimization

MY Liu, YC Lai, WK Mak, TC Wang - Proceedings of the 41st IEEE/ACM …, 2022 - dl.acm.org
Multi-bit flip-flops (MBFFs) are often used to reduce the number of clock sinks, resulting in a
low-power design. A traditional MBFF is composed of individual FFs of uniform driving …

Clock network optimization with multibit flip-flop generation considering multicorner multimode timing constraint

T Lee, DZ Pan, JS Yang - IEEE Transactions on Computer …, 2017 - ieeexplore.ieee.org
Clock network should be optimized to reduce clock power dissipation. The power efficient
clock network can be constructed by multibit flip-flop generation and gated clock tree aware …

Detailed placement in advanced technology nodes: a survey

Y Lin, B Yu, DZ Pan - … on Solid-State and Integrated Circuit …, 2016 - ieeexplore.ieee.org
With the continued scaling to emerging technology nodes, modern circuit designs in
nanometer era introduce many strict or even unprecedented design constraints and …

Power optimization for clock network with clock gate cloning and flip-flop merging

SC Lo, CC Hsu, MPH Lin - Proceedings of the 2014 on International …, 2014 - dl.acm.org
Applying clock gates (CGs) and multi-bit flip-flops (MBFFs) are two of the most effective
techniques for low power clock network design. Some previous works had proposed to …