Resistive crossbars as approximate hardware building blocks for machine learning: Opportunities and challenges

I Chakraborty, M Ali, A Ankit, S Jain, S Roy… - Proceedings of the …, 2020 - ieeexplore.ieee.org
Traditional computing systems based on the von Neumann architecture are fundamentally
bottlenecked by data transfers between processors and memory. The emergence of data …

Multiply accumulate operations in memristor crossbar arrays for analog computing

J Chen, J Li, Y Li, X Miao - Journal of Semiconductors, 2021 - iopscience.iop.org
Memristors are now becoming a prominent candidate to serve as the building blocks of non-
von Neumann in-memory computing architectures. By mapping analog numerical matrices …

A fully hardware-based memristive multilayer neural network

F Kiani, J Yin, Z Wang, JJ Yang, Q Xia - Science advances, 2021 - science.org
Memristive crossbar arrays promise substantial improvements in computing throughput and
power efficiency through in-memory analog computing. Previous machine learning …

High-throughput in-memory computing for binary deep neural networks with monolithically integrated RRAM and 90-nm CMOS

S Yin, X Sun, S Yu, J Seo - IEEE Transactions on Electron …, 2020 - ieeexplore.ieee.org
Deep neural network (DNN) hardware designs have been bottlenecked by conventional
memories, such as SRAM due to density, leakage, and parallel computing challenges …

8-b precision 8-Mb ReRAM compute-in-memory macro using direct-current-free time-domain readout scheme for AI edge devices

JM Hung, TH Wen, YH Huang… - IEEE Journal of Solid …, 2022 - ieeexplore.ieee.org
Compute-in-memory (nvCIM) macros based on non-volatile memory make it possible for
artificial intelligence (AI) edge devices to perform energy-efficient multiply-and-accumulate …

Proposal of analog in-memory computing with magnified tunnel magnetoresistance ratio and universal STT-MRAM cell

H Cai, Y Guo, B Liu, M Zhou, J Chen… - IEEE Transactions on …, 2022 - ieeexplore.ieee.org
In-memory computing (IMC) is an effective solution for energy-efficient artificial intelligence
applications. Analog IMC amortizes the power consumption of multiple sensing amplifiers …

Recent advances in synaptic nonvolatile memory devices and compensating architectural and algorithmic methods toward fully integrated neuromorphic chips

K Byun, I Choi, S Kwon, Y Kim, D Kang… - Advanced Materials …, 2023 - Wiley Online Library
Nonvolatile memory (NVM)‐based neuromorphic computing has been attracting
considerable attention from academia and the industry. Although it is not completely …

Reconfigurable bit-serial operation using toggle SOT-MRAM for high-performance computing in memory architecture

J Wang, Y Bai, H Wang, Z Hao, G Wang… - … on Circuits and …, 2022 - ieeexplore.ieee.org
Computing in memory (CIM) is a promising candidate for high throughput and energy-
efficient data-driven applications, which mitigates the well-known memory bottleneck in Von …

Comprehending in-memory computing trends via proper benchmarking

NR Shanbhag, SK Roy - 2022 IEEE Custom Integrated Circuits …, 2022 - ieeexplore.ieee.org
Since its inception in 2014 [1], the modern version of in-memory computing (IMC) has
become an active area of research in integrated circuit design globally for realizing artificial …

A 40-nm, 64-kb, 56.67 TOPS/W voltage-sensing computing-in-memory/digital RRAM macro supporting iterative write with verification and online read-disturb detection

JH Yoon, M Chang, WS Khwa, YD Chih… - IEEE Journal of Solid …, 2021 - ieeexplore.ieee.org
Computing-in-memory (CIM) architectures have gained importance in achieving high-
throughput energy-efficient artificial intelligence (AI) systems. Resistive RAM (RRAM) is a …