OpenTimer v2: A new parallel incremental timing analysis engine

TW Huang, G Guo, CX Lin… - IEEE transactions on …, 2020 - ieeexplore.ieee.org
Since the first release in 2015, OpenTimer v1 has been used in many industrial and
academic projects for analyzing the timing of custom designs. After four-year research and …

Standard cell library design and optimization methodology for ASAP7 PDK

X Xu, N Shah, A Evans, S Sinha… - 2017 IEEE/ACM …, 2017 - ieeexplore.ieee.org
Standard cell libraries are the foundation for the entire back-end design and optimization
flow in modern application-specific integrated circuit designs. At 7nm technology node and …

Datc rdf-2021: Design flow and beyond iccad special session paper

J Chen, IHR Jiang, J Jung, AB Kahng… - 2021 IEEE/ACM …, 2021 - ieeexplore.ieee.org
This paper describes the latest release of the DATC Robust Design Flow (RDF), RDF-2021,
which has several key additions to expand its horizons. The Chisel/FIRRTL compiler is now …

DATC RDF-2020: Strengthening the foundation for academic research in IC physical design

J Chen, IHR Jiang, J Jung, AB Kahng… - Proceedings of the 39th …, 2020 - dl.acm.org
We describe the RDF-2020 release of the IEEE CEDA DATC Robust Design Flow (RDF).
RDF-2020 extends the previous four years of DATC efforts to (i) preserve and integrate …

IEEE CEDA DATC Emerging Foundations in IC Physical Design and MLCAD Research

J Jung, AB Kahng, S Kundu, Z Wang… - 2023 IEEE/ACM …, 2023 - ieeexplore.ieee.org
Recent activities of the IEEE CEDA DATC strengthen the DATC Robust Design Flow (RDF)
and broadly support research on machine learning for CAD/EDA (MLCAD). The RDF-2023 …

Looking into the mirror of open source

AB Kahng - 2019 IEEE/ACM International Conference on …, 2019 - ieeexplore.ieee.org
The DARPA IDEA program has brought unprecedented resources and attention to
development of open-source EDA. As this session convenes, IDEA is well into its second …

DATC RDF-2019: Towards a complete academic reference design flow

J Chen, IHR Jiang, J Jung, AB Kahng… - 2019 IEEE/ACM …, 2019 - ieeexplore.ieee.org
We describe a new RDF-2019 release of the IEEE CEDA DATC Robust Design Flow (RDF).
RDF-2019 enhances the DATC RDF to span the entire RTL-to-GDS IC implementation flow …

DATC RDF: An academic flow from logic synthesis to detailed routing

J Jung, IHR Jiang, J Chen, ST Lin, YL Li… - 2018 IEEE/ACM …, 2018 - ieeexplore.ieee.org
In this paper, we present DATC Robust Design Flow (RDF) from logic synthesis to detailed
routing. We further include detailed placement and detailed routing tools based on recent …

DATC RDF: Robust design flow database

J Jung, PY Lee, YS Wu, NK Darav… - 2017 IEEE/ACM …, 2017 - ieeexplore.ieee.org
DATC RDF: Robust Design Flow Database Page 1 DATC RDF: Robust Design Flow Database
Invited Paper Jinwook Jung1, Pei-Yu Lee2, Yan-Shiun Wu2, Nima Karimpour Darav3, Iris …

Enumeration and Identification of Unique 3D Spatial Topologies of Interconnected Engineering Systems Using Spatial Graphs

SRT Peddada, NM Dunfield… - Journal of …, 2023 - asmedigitalcollection.asme.org
Systematic enumeration and identification of unique 3D spatial topologies (STs) of complex
engineering systems (such as automotive cooling systems, electric power trains, satellites …