Machine learning for the control and monitoring of electric machine drives: Advances and trends

S Zhang, O Wallscheid… - IEEE Open Journal of …, 2023 - ieeexplore.ieee.org
This review article systematically summarizes the existing literature on utilizing machine
learning (ML) techniques for the control and monitoring of electric machine drives. It is …

Artificial intelligence in electric machine drives: Advances and trends

S Zhang - Authorea Preprints, 2023 - techrxiv.org
This review paper systematically summarizes the existing literature on applying classical AI
techniques and advanced deep learning algorithms to electric machine drives. It is …

Cloudsatnet-1: Fpga-based hardware-accelerated quantized cnn for satellite on-board cloud coverage classification

R Pitonak, J Mucha, L Dobis, M Javorka, M Marusin - Remote Sensing, 2022 - mdpi.com
CubeSats, the nanosatellites and microsatellites with a wet mass up to 60 kg, accompanied
by the cost decrease of accessing the space, amplified the rapid development of the Earth …

Energy and performance trade-off optimization in heterogeneous computing via reinforcement learning

Z Yu, P Machado, A Zahid, AM Abdulghani… - Electronics, 2020 - mdpi.com
This paper suggests an optimisation approach in heterogeneous computing systems to
balance energy power consumption and efficiency. The work proposes a power …

Control and visualisation of a software defined radio system on the Xilinx RFSoC platform using the PYNQ framework

J Goldsmith, C Ramsay, D Northcote, KW Barlee… - IEEE …, 2020 - ieeexplore.ieee.org
The availability of commercial Radio Frequency System on Chip (RFSoC) devices brings
new possibilities for implementing Software Defined Radio (SDR) systems. Such systems …

A Flexible System-on-Chip Field-Programmable Gate Array Architecture for Prototyping Experimental Global Navigation Satellite System Receivers

M Majoral, C Fernández-Prades, J Arribas - Sensors, 2023 - mdpi.com
Global navigation satellite system (GNSS) technology is evolving at a rapid pace. The rapid
advancement demands rapid prototyping tools to conduct research on new and innovative …

MPSoC4Drones: An open framework for ROS2, PX4, and FPGA integration

FF Nyboe, NH Malle, E Ebeid - 2022 international conference …, 2022 - ieeexplore.ieee.org
Autonomous drones are facing a tough efficiency challenge due to limitations on the utilized
processing hardware units. Among these limitations is the tradeoff between fast computing …

Heterogeneous Flight Management System (FMS) Design for Unmanned Aerial Vehicles (UAVs): Current Stages, Challenges, and Opportunities

G Wang, C Gu, J Li, J Wang, X Chen, H Zhang - Drones, 2023 - mdpi.com
In the Machine Learning (ML) era, faced with challenges, including exponential multi-sensor
data, an increasing number of actuators, and data-intensive algorithms, the development of …

FPGA-based CNN for real-time UAV tracking and detection

P Hobden, S Srivastava, E Nurellari - Frontiers in Space …, 2022 - frontiersin.org
Neural networks (NNs) are now being extensively utilized in various artificial intelligence
platforms specifically in the area of image classification and real-time object tracking. We …

A scalable and efficient convolutional neural network accelerator using HLS for a system-on-chip design

K Bjerge, JH Schougaard, DE Larsen - Microprocessors and microsystems, 2021 - Elsevier
This paper presents a configurable convolutional neural network accelerator (CNNA) for a
system-on-chip (SoC). The goal was to accelerate inference in different deep learning …